1ecd94f1bSKan Liang[
2ecd94f1bSKan Liang    {
36635df2fSIan Rogers        "BriefDescription": "C2 residency percent per package",
46635df2fSIan Rogers        "MetricExpr": "cstate_pkg@c2\\-residency@ / TSC",
5ecd94f1bSKan Liang        "MetricGroup": "Power",
66635df2fSIan Rogers        "MetricName": "C2_Pkg_Residency",
78358b122SIan Rogers        "ScaleUnit": "100%"
88358b122SIan Rogers    },
98358b122SIan Rogers    {
108358b122SIan Rogers        "BriefDescription": "C3 residency percent per core",
118358b122SIan Rogers        "MetricExpr": "cstate_core@c3\\-residency@ / TSC",
128358b122SIan Rogers        "MetricGroup": "Power",
138358b122SIan Rogers        "MetricName": "C3_Core_Residency",
148358b122SIan Rogers        "ScaleUnit": "100%"
158358b122SIan Rogers    },
168358b122SIan Rogers    {
178358b122SIan Rogers        "BriefDescription": "C3 residency percent per package",
188358b122SIan Rogers        "MetricExpr": "cstate_pkg@c3\\-residency@ / TSC",
198358b122SIan Rogers        "MetricGroup": "Power",
208358b122SIan Rogers        "MetricName": "C3_Pkg_Residency",
218358b122SIan Rogers        "ScaleUnit": "100%"
228358b122SIan Rogers    },
238358b122SIan Rogers    {
246635df2fSIan Rogers        "BriefDescription": "C6 residency percent per core",
256635df2fSIan Rogers        "MetricExpr": "cstate_core@c6\\-residency@ / TSC",
266635df2fSIan Rogers        "MetricGroup": "Power",
276635df2fSIan Rogers        "MetricName": "C6_Core_Residency",
286635df2fSIan Rogers        "ScaleUnit": "100%"
296635df2fSIan Rogers    },
306635df2fSIan Rogers    {
318358b122SIan Rogers        "BriefDescription": "C6 residency percent per package",
328358b122SIan Rogers        "MetricExpr": "cstate_pkg@c6\\-residency@ / TSC",
338358b122SIan Rogers        "MetricGroup": "Power",
348358b122SIan Rogers        "MetricName": "C6_Pkg_Residency",
358358b122SIan Rogers        "ScaleUnit": "100%"
368358b122SIan Rogers    },
378358b122SIan Rogers    {
386635df2fSIan Rogers        "BriefDescription": "C7 residency percent per core",
396635df2fSIan Rogers        "MetricExpr": "cstate_core@c7\\-residency@ / TSC",
406635df2fSIan Rogers        "MetricGroup": "Power",
416635df2fSIan Rogers        "MetricName": "C7_Core_Residency",
426635df2fSIan Rogers        "ScaleUnit": "100%"
436635df2fSIan Rogers    },
446635df2fSIan Rogers    {
458358b122SIan Rogers        "BriefDescription": "C7 residency percent per package",
468358b122SIan Rogers        "MetricExpr": "cstate_pkg@c7\\-residency@ / TSC",
478358b122SIan Rogers        "MetricGroup": "Power",
488358b122SIan Rogers        "MetricName": "C7_Pkg_Residency",
498358b122SIan Rogers        "ScaleUnit": "100%"
506635df2fSIan Rogers    },
516635df2fSIan Rogers    {
526635df2fSIan Rogers        "BriefDescription": "Uncore frequency per die [GHZ]",
53*8c61edb8SIan Rogers        "MetricExpr": "tma_info_system_socket_clks / #num_dies / duration_time / 1e9",
546635df2fSIan Rogers        "MetricGroup": "SoC",
556635df2fSIan Rogers        "MetricName": "UNCORE_FREQ"
566635df2fSIan Rogers    },
576635df2fSIan Rogers    {
58*8c61edb8SIan Rogers        "BriefDescription": "Cycles per instruction retired; indicating how much time each executed instruction took; in units of cycles.",
59*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / INST_RETIRED.ANY",
60*8c61edb8SIan Rogers        "MetricName": "cpi",
61*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
62*8c61edb8SIan Rogers    },
63*8c61edb8SIan Rogers    {
64*8c61edb8SIan Rogers        "BriefDescription": "CPU operating frequency (in GHz)",
65*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / CPU_CLK_UNHALTED.REF_TSC * #SYSTEM_TSC_FREQ / 1e9",
66*8c61edb8SIan Rogers        "MetricName": "cpu_operating_frequency",
67*8c61edb8SIan Rogers        "ScaleUnit": "1GHz"
68*8c61edb8SIan Rogers    },
69*8c61edb8SIan Rogers    {
70*8c61edb8SIan Rogers        "BriefDescription": "Percentage of time spent in the active CPU power state C0",
71*8c61edb8SIan Rogers        "MetricExpr": "tma_info_system_cpu_utilization",
72*8c61edb8SIan Rogers        "MetricName": "cpu_utilization",
73*8c61edb8SIan Rogers        "ScaleUnit": "100%"
74*8c61edb8SIan Rogers    },
75*8c61edb8SIan Rogers    {
76*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for 2 megabyte page sizes) caused by demand data loads to the total number of completed instructions",
77*8c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_COMPLETED_2M_4M / INST_RETIRED.ANY",
78*8c61edb8SIan Rogers        "MetricName": "dtlb_2mb_large_page_load_mpi",
79*8c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for 2 megabyte page sizes) caused by demand data loads to the total number of completed instructions. This implies it missed in the Data Translation Lookaside Buffer (DTLB) and further levels of TLB.",
80*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
81*8c61edb8SIan Rogers    },
82*8c61edb8SIan Rogers    {
83*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions",
84*8c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
85*8c61edb8SIan Rogers        "MetricName": "dtlb_load_mpi",
86*8c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
87*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
88*8c61edb8SIan Rogers    },
89*8c61edb8SIan Rogers    {
90*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions",
91*8c61edb8SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
92*8c61edb8SIan Rogers        "MetricName": "dtlb_store_mpi",
93*8c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
94*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
95*8c61edb8SIan Rogers    },
96*8c61edb8SIan Rogers    {
97*8c61edb8SIan Rogers        "BriefDescription": "Bandwidth of IO reads that are initiated by end device controllers that are requesting memory from the CPU.",
98*8c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART3) * 4 / 1e6 / duration_time",
99*8c61edb8SIan Rogers        "MetricName": "io_bandwidth_read",
100*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
101*8c61edb8SIan Rogers    },
102*8c61edb8SIan Rogers    {
103*8c61edb8SIan Rogers        "BriefDescription": "Bandwidth of IO writes that are initiated by end device controllers that are writing memory to the CPU.",
104*8c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART0 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART1 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART2 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART3) * 4 / 1e6 / duration_time",
105*8c61edb8SIan Rogers        "MetricName": "io_bandwidth_write",
106*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
107*8c61edb8SIan Rogers    },
108*8c61edb8SIan Rogers    {
109*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions",
110*8c61edb8SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED_2M_4M / INST_RETIRED.ANY",
111*8c61edb8SIan Rogers        "MetricName": "itlb_large_page_mpi",
112*8c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the Instruction Translation Lookaside Buffer (ITLB) and further levels of TLB.",
113*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
114*8c61edb8SIan Rogers    },
115*8c61edb8SIan Rogers    {
116*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions",
117*8c61edb8SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
118*8c61edb8SIan Rogers        "MetricName": "itlb_mpi",
119*8c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB.",
120*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
121*8c61edb8SIan Rogers    },
122*8c61edb8SIan Rogers    {
123*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing in L1 instruction cache (includes prefetches) to the total number of completed instructions",
124*8c61edb8SIan Rogers        "MetricExpr": "L2_RQSTS.ALL_CODE_RD / INST_RETIRED.ANY",
125*8c61edb8SIan Rogers        "MetricName": "l1_i_code_read_misses_with_prefetches_per_instr",
126*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
127*8c61edb8SIan Rogers    },
128*8c61edb8SIan Rogers    {
129*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of demand load requests hitting in L1 data cache to the total number of completed instructions",
130*8c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L1_HIT / INST_RETIRED.ANY",
131*8c61edb8SIan Rogers        "MetricName": "l1d_demand_data_read_hits_per_instr",
132*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
133*8c61edb8SIan Rogers    },
134*8c61edb8SIan Rogers    {
135*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of requests missing L1 data cache (includes data+rfo w/ prefetches) to the total number of completed instructions",
136*8c61edb8SIan Rogers        "MetricExpr": "L1D.REPLACEMENT / INST_RETIRED.ANY",
137*8c61edb8SIan Rogers        "MetricName": "l1d_mpi",
138*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
139*8c61edb8SIan Rogers    },
140*8c61edb8SIan Rogers    {
141*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read request missing L2 cache to the total number of completed instructions",
142*8c61edb8SIan Rogers        "MetricExpr": "L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
143*8c61edb8SIan Rogers        "MetricName": "l2_demand_code_mpi",
144*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
145*8c61edb8SIan Rogers    },
146*8c61edb8SIan Rogers    {
147*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed demand load requests hitting in L2 cache to the total number of completed instructions",
148*8c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT / INST_RETIRED.ANY",
149*8c61edb8SIan Rogers        "MetricName": "l2_demand_data_read_hits_per_instr",
150*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
151*8c61edb8SIan Rogers    },
152*8c61edb8SIan Rogers    {
153*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed data read request missing L2 cache to the total number of completed instructions",
154*8c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
155*8c61edb8SIan Rogers        "MetricName": "l2_demand_data_read_mpi",
156*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
157*8c61edb8SIan Rogers    },
158*8c61edb8SIan Rogers    {
159*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of requests missing L2 cache (includes code+data+rfo w/ prefetches) to the total number of completed instructions",
160*8c61edb8SIan Rogers        "MetricExpr": "L2_LINES_IN.ALL / INST_RETIRED.ANY",
161*8c61edb8SIan Rogers        "MetricName": "l2_mpi",
162*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
163*8c61edb8SIan Rogers    },
164*8c61edb8SIan Rogers    {
165*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
166*8c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x12CC0233@ / INST_RETIRED.ANY",
167*8c61edb8SIan Rogers        "MetricName": "llc_code_read_mpi_demand_plus_prefetch",
168*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
169*8c61edb8SIan Rogers    },
170*8c61edb8SIan Rogers    {
171*8c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) in nano seconds",
172*8c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40433@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40433@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
173*8c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency",
174*8c61edb8SIan Rogers        "ScaleUnit": "1ns"
175*8c61edb8SIan Rogers    },
176*8c61edb8SIan Rogers    {
177*8c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to local memory in nano seconds",
178*8c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40432@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
179*8c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_local_requests",
180*8c61edb8SIan Rogers        "ScaleUnit": "1ns"
181*8c61edb8SIan Rogers    },
182*8c61edb8SIan Rogers    {
183*8c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to remote memory in nano seconds",
184*8c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40431@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
185*8c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_remote_requests",
186*8c61edb8SIan Rogers        "ScaleUnit": "1ns"
187*8c61edb8SIan Rogers    },
188*8c61edb8SIan Rogers    {
189*8c61edb8SIan Rogers        "BriefDescription": "Ratio of number of data read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
190*8c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x12D40433@ / INST_RETIRED.ANY",
191*8c61edb8SIan Rogers        "MetricName": "llc_data_read_mpi_demand_plus_prefetch",
192*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
193*8c61edb8SIan Rogers    },
194*8c61edb8SIan Rogers    {
195*8c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of read requests that miss the last level cache (LLC) and go to local memory.",
196*8c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.READS_LOCAL * 64 / 1e6 / duration_time",
197*8c61edb8SIan Rogers        "MetricName": "llc_miss_local_memory_bandwidth_read",
198*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
199*8c61edb8SIan Rogers    },
200*8c61edb8SIan Rogers    {
201*8c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of write requests that miss the last level cache (LLC) and go to local memory.",
202*8c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.WRITES_LOCAL * 64 / 1e6 / duration_time",
203*8c61edb8SIan Rogers        "MetricName": "llc_miss_local_memory_bandwidth_write",
204*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
205*8c61edb8SIan Rogers    },
206*8c61edb8SIan Rogers    {
207*8c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of read requests that miss the last level cache (LLC) and go to remote memory.",
208*8c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.READS_REMOTE * 64 / 1e6 / duration_time",
209*8c61edb8SIan Rogers        "MetricName": "llc_miss_remote_memory_bandwidth_read",
210*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
211*8c61edb8SIan Rogers    },
212*8c61edb8SIan Rogers    {
213*8c61edb8SIan Rogers        "BriefDescription": "The ratio of number of completed memory load instructions to the total number completed instructions",
214*8c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.ALL_LOADS / INST_RETIRED.ANY",
215*8c61edb8SIan Rogers        "MetricName": "loads_per_instr",
216*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
217*8c61edb8SIan Rogers    },
218*8c61edb8SIan Rogers    {
219*8c61edb8SIan Rogers        "BriefDescription": "DDR memory read bandwidth (MB/sec)",
220*8c61edb8SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.RD * 64 / 1e6 / duration_time",
221*8c61edb8SIan Rogers        "MetricName": "memory_bandwidth_read",
222*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
223*8c61edb8SIan Rogers    },
224*8c61edb8SIan Rogers    {
225*8c61edb8SIan Rogers        "BriefDescription": "DDR memory bandwidth (MB/sec)",
226*8c61edb8SIan Rogers        "MetricExpr": "(UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) * 64 / 1e6 / duration_time",
227*8c61edb8SIan Rogers        "MetricName": "memory_bandwidth_total",
228*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
229*8c61edb8SIan Rogers    },
230*8c61edb8SIan Rogers    {
231*8c61edb8SIan Rogers        "BriefDescription": "DDR memory write bandwidth (MB/sec)",
232*8c61edb8SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.WR * 64 / 1e6 / duration_time",
233*8c61edb8SIan Rogers        "MetricName": "memory_bandwidth_write",
234*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
235*8c61edb8SIan Rogers    },
236*8c61edb8SIan Rogers    {
237*8c61edb8SIan Rogers        "BriefDescription": "Memory read that miss the last level cache (LLC) addressed to local DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
238*8c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ / (cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ + cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@)",
239*8c61edb8SIan Rogers        "MetricName": "numa_reads_addressed_to_local_dram",
240*8c61edb8SIan Rogers        "ScaleUnit": "100%"
241*8c61edb8SIan Rogers    },
242*8c61edb8SIan Rogers    {
243*8c61edb8SIan Rogers        "BriefDescription": "Memory reads that miss the last level cache (LLC) addressed to remote DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
244*8c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@ / (cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ + cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@)",
245*8c61edb8SIan Rogers        "MetricName": "numa_reads_addressed_to_remote_dram",
246*8c61edb8SIan Rogers        "ScaleUnit": "100%"
247*8c61edb8SIan Rogers    },
248*8c61edb8SIan Rogers    {
249*8c61edb8SIan Rogers        "BriefDescription": "Uops delivered from decoded instruction cache (decoded stream buffer or DSB) as a percent of total uops delivered to Instruction Decode Queue",
250*8c61edb8SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
251*8c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_decoded_icache",
252*8c61edb8SIan Rogers        "ScaleUnit": "100%"
253*8c61edb8SIan Rogers    },
254*8c61edb8SIan Rogers    {
255*8c61edb8SIan Rogers        "BriefDescription": "Uops delivered from legacy decode pipeline (Micro-instruction Translation Engine or MITE) as a percent of total uops delivered to Instruction Decode Queue",
256*8c61edb8SIan Rogers        "MetricExpr": "IDQ.MITE_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
257*8c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_legacy_decode_pipeline",
258*8c61edb8SIan Rogers        "ScaleUnit": "100%"
259*8c61edb8SIan Rogers    },
260*8c61edb8SIan Rogers    {
261*8c61edb8SIan Rogers        "BriefDescription": "Uops delivered from microcode sequencer (MS) as a percent of total uops delivered to Instruction Decode Queue",
262*8c61edb8SIan Rogers        "MetricExpr": "IDQ.MS_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
263*8c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_microcode_sequencer",
264*8c61edb8SIan Rogers        "ScaleUnit": "100%"
265*8c61edb8SIan Rogers    },
266*8c61edb8SIan Rogers    {
267*8c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory read bandwidth (MB/sec)",
268*8c61edb8SIan Rogers        "MetricExpr": "UNC_M_PMM_RPQ_INSERTS * 64 / 1e6 / duration_time",
269*8c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_read",
270*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
271*8c61edb8SIan Rogers    },
272*8c61edb8SIan Rogers    {
273*8c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory bandwidth (MB/sec)",
274*8c61edb8SIan Rogers        "MetricExpr": "(UNC_M_PMM_RPQ_INSERTS + UNC_M_PMM_WPQ_INSERTS) * 64 / 1e6 / duration_time",
275*8c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_total",
276*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
277*8c61edb8SIan Rogers    },
278*8c61edb8SIan Rogers    {
279*8c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory write bandwidth (MB/sec)",
280*8c61edb8SIan Rogers        "MetricExpr": "UNC_M_PMM_WPQ_INSERTS * 64 / 1e6 / duration_time",
281*8c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_write",
282*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
283*8c61edb8SIan Rogers    },
284*8c61edb8SIan Rogers    {
2856635df2fSIan Rogers        "BriefDescription": "Percentage of cycles spent in System Management Interrupts.",
2866635df2fSIan Rogers        "MetricExpr": "((msr@aperf@ - cycles) / msr@aperf@ if msr@smi@ > 0 else 0)",
2876635df2fSIan Rogers        "MetricGroup": "smi",
2886635df2fSIan Rogers        "MetricName": "smi_cycles",
2896635df2fSIan Rogers        "MetricThreshold": "smi_cycles > 0.1",
2906635df2fSIan Rogers        "ScaleUnit": "100%"
2916635df2fSIan Rogers    },
2926635df2fSIan Rogers    {
2936635df2fSIan Rogers        "BriefDescription": "Number of SMI interrupts.",
2946635df2fSIan Rogers        "MetricExpr": "msr@smi@",
2956635df2fSIan Rogers        "MetricGroup": "smi",
2966635df2fSIan Rogers        "MetricName": "smi_num",
2976635df2fSIan Rogers        "ScaleUnit": "1SMI#"
2986635df2fSIan Rogers    },
2996635df2fSIan Rogers    {
300*8c61edb8SIan Rogers        "BriefDescription": "The ratio of number of completed memory store instructions to the total number completed instructions",
301*8c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.ALL_STORES / INST_RETIRED.ANY",
302*8c61edb8SIan Rogers        "MetricName": "stores_per_instr",
303*8c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
304*8c61edb8SIan Rogers    },
305*8c61edb8SIan Rogers    {
3066635df2fSIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
307*8c61edb8SIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / tma_info_thread_clks",
3086635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
3096635df2fSIan Rogers        "MetricName": "tma_4k_aliasing",
3106635df2fSIan Rogers        "MetricThreshold": "tma_4k_aliasing > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
3116635df2fSIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
3126635df2fSIan Rogers        "ScaleUnit": "100%"
3136635df2fSIan Rogers    },
3146635df2fSIan Rogers    {
3156635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
316*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_0 + UOPS_DISPATCHED_PORT.PORT_1 + UOPS_DISPATCHED_PORT.PORT_5 + UOPS_DISPATCHED_PORT.PORT_6) / tma_info_thread_slots",
3176635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
3186635df2fSIan Rogers        "MetricName": "tma_alu_op_utilization",
3196635df2fSIan Rogers        "MetricThreshold": "tma_alu_op_utilization > 0.6",
3206635df2fSIan Rogers        "ScaleUnit": "100%"
3216635df2fSIan Rogers    },
3226635df2fSIan Rogers    {
3236635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
324*8c61edb8SIan Rogers        "MetricExpr": "100 * (FP_ASSIST.ANY + OTHER_ASSISTS.ANY) / tma_info_thread_slots",
3256635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
3266635df2fSIan Rogers        "MetricName": "tma_assists",
3276635df2fSIan Rogers        "MetricThreshold": "tma_assists > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
3286635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: OTHER_ASSISTS.ANY",
3296635df2fSIan Rogers        "ScaleUnit": "100%"
3306635df2fSIan Rogers    },
3316635df2fSIan Rogers    {
3326635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
333*8c61edb8SIan Rogers        "MetricExpr": "1 - tma_frontend_bound - (UOPS_ISSUED.ANY + 4 * (INT_MISC.RECOVERY_CYCLES_ANY / 2 if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / tma_info_thread_slots",
3346635df2fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
3356635df2fSIan Rogers        "MetricName": "tma_backend_bound",
3366635df2fSIan Rogers        "MetricThreshold": "tma_backend_bound > 0.2",
337ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
3386635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound.",
3396635df2fSIan Rogers        "ScaleUnit": "100%"
3406635df2fSIan Rogers    },
3416635df2fSIan Rogers    {
3426635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
343*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_ISSUED.ANY - UOPS_RETIRED.RETIRE_SLOTS + 4 * (INT_MISC.RECOVERY_CYCLES_ANY / 2 if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / tma_info_thread_slots",
3446635df2fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
3456635df2fSIan Rogers        "MetricName": "tma_bad_speculation",
3466635df2fSIan Rogers        "MetricThreshold": "tma_bad_speculation > 0.15",
347ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
3486635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
3496635df2fSIan Rogers        "ScaleUnit": "100%"
3506635df2fSIan Rogers    },
3516635df2fSIan Rogers    {
3526635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
3536635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
3546635df2fSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * tma_bad_speculation",
3556635df2fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueBM",
3566635df2fSIan Rogers        "MetricName": "tma_branch_mispredicts",
3576635df2fSIan Rogers        "MetricThreshold": "tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15",
358ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
359*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES. Related metrics: tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers",
3606635df2fSIan Rogers        "ScaleUnit": "100%"
3616635df2fSIan Rogers    },
3626635df2fSIan Rogers    {
3636635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
364*8c61edb8SIan Rogers        "MetricExpr": "INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks + tma_unknown_branches",
3656635df2fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group",
3666635df2fSIan Rogers        "MetricName": "tma_branch_resteers",
3676635df2fSIan Rogers        "MetricThreshold": "tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
3686635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
3696635df2fSIan Rogers        "ScaleUnit": "100%"
3706635df2fSIan Rogers    },
3716635df2fSIan Rogers    {
3726635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
3736635df2fSIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
3746635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
3756635df2fSIan Rogers        "MetricName": "tma_cisc",
3766635df2fSIan Rogers        "MetricThreshold": "tma_cisc > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
3776635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
3786635df2fSIan Rogers        "ScaleUnit": "100%"
3796635df2fSIan Rogers    },
3806635df2fSIan Rogers    {
3816635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears",
382*8c61edb8SIan Rogers        "MetricExpr": "(1 - BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
3836635df2fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueMC",
3846635df2fSIan Rogers        "MetricName": "tma_clears_resteers",
3856635df2fSIan Rogers        "MetricThreshold": "tma_clears_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
3866635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches",
3876635df2fSIan Rogers        "ScaleUnit": "100%"
3886635df2fSIan Rogers    },
3896635df2fSIan Rogers    {
3906635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
3916635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
392*8c61edb8SIan Rogers        "MetricExpr": "(44 * tma_info_system_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM * (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE / (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE + OCR.DEMAND_DATA_RD.L3_HIT.HIT_OTHER_CORE_FWD))) + 44 * tma_info_system_average_frequency * MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
3936635df2fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
3946635df2fSIan Rogers        "MetricName": "tma_contested_accesses",
3956635df2fSIan Rogers        "MetricThreshold": "tma_contested_accesses > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
3966635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS_PS. Related metrics: tma_data_sharing, tma_false_sharing, tma_machine_clears, tma_remote_cache",
3976635df2fSIan Rogers        "ScaleUnit": "100%"
3986635df2fSIan Rogers    },
3996635df2fSIan Rogers    {
4006635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
4016635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
4026635df2fSIan Rogers        "MetricExpr": "tma_backend_bound - tma_memory_bound",
4036635df2fSIan Rogers        "MetricGroup": "Backend;Compute;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
4046635df2fSIan Rogers        "MetricName": "tma_core_bound",
4056635df2fSIan Rogers        "MetricThreshold": "tma_core_bound > 0.1 & tma_backend_bound > 0.2",
406ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
4076635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
4086635df2fSIan Rogers        "ScaleUnit": "100%"
4096635df2fSIan Rogers    },
4106635df2fSIan Rogers    {
4116635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
4126635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
413*8c61edb8SIan Rogers        "MetricExpr": "44 * tma_info_system_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM * (1 - OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE / (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE + OCR.DEMAND_DATA_RD.L3_HIT.HIT_OTHER_CORE_FWD))) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
4146635df2fSIan Rogers        "MetricGroup": "Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
4156635df2fSIan Rogers        "MetricName": "tma_data_sharing",
4166635df2fSIan Rogers        "MetricThreshold": "tma_data_sharing > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
4176635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT_PS. Related metrics: tma_contested_accesses, tma_false_sharing, tma_machine_clears, tma_remote_cache",
4186635df2fSIan Rogers        "ScaleUnit": "100%"
4196635df2fSIan Rogers    },
4206635df2fSIan Rogers    {
4216635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder",
422*8c61edb8SIan Rogers        "MetricExpr": "(cpu@INST_DECODED.DECODERS\\,cmask\\=1@ - cpu@INST_DECODED.DECODERS\\,cmask\\=2@) / tma_info_core_core_clks / 2",
4236635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_issueD0;tma_mite_group",
4246635df2fSIan Rogers        "MetricName": "tma_decoder0_alone",
425*8c61edb8SIan Rogers        "MetricThreshold": "tma_decoder0_alone > 0.1 & (tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35))",
4266635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder. Related metrics: tma_few_uops_instructions",
4276635df2fSIan Rogers        "ScaleUnit": "100%"
4286635df2fSIan Rogers    },
4296635df2fSIan Rogers    {
4306635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
431*8c61edb8SIan Rogers        "MetricExpr": "ARITH.DIVIDER_ACTIVE / tma_info_thread_clks",
4326635df2fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_core_bound_group",
4336635df2fSIan Rogers        "MetricName": "tma_divider",
4346635df2fSIan Rogers        "MetricThreshold": "tma_divider > 0.2 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
4356635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_ACTIVE",
4366635df2fSIan Rogers        "ScaleUnit": "100%"
4376635df2fSIan Rogers    },
4386635df2fSIan Rogers    {
4396635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
4406635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
441*8c61edb8SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound - tma_pmm_bound if #has_pmem > 0 else CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound)",
4426635df2fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
4436635df2fSIan Rogers        "MetricName": "tma_dram_bound",
4446635df2fSIan Rogers        "MetricThreshold": "tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
4456635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_MISS_PS",
4466635df2fSIan Rogers        "ScaleUnit": "100%"
4476635df2fSIan Rogers    },
4486635df2fSIan Rogers    {
4496635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
450*8c61edb8SIan Rogers        "MetricExpr": "(IDQ.ALL_DSB_CYCLES_ANY_UOPS - IDQ.ALL_DSB_CYCLES_4_UOPS) / tma_info_core_core_clks / 2",
4516635df2fSIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
4526635df2fSIan Rogers        "MetricName": "tma_dsb",
453*8c61edb8SIan Rogers        "MetricThreshold": "tma_dsb > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35)",
4546635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
4556635df2fSIan Rogers        "ScaleUnit": "100%"
4566635df2fSIan Rogers    },
4576635df2fSIan Rogers    {
4586635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
459*8c61edb8SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / tma_info_thread_clks",
4606635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
4616635df2fSIan Rogers        "MetricName": "tma_dsb_switches",
4626635df2fSIan Rogers        "MetricThreshold": "tma_dsb_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
463*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty. Sample with: FRONTEND_RETIRED.DSB_MISS_PS. Related metrics: tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
4646635df2fSIan Rogers        "ScaleUnit": "100%"
4656635df2fSIan Rogers    },
4666635df2fSIan Rogers    {
4676635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
4686635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
469*8c61edb8SIan Rogers        "MetricExpr": "min(9 * cpu@DTLB_LOAD_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_LOAD_MISSES.WALK_ACTIVE, max(CYCLE_ACTIVITY.CYCLES_MEM_ANY - CYCLE_ACTIVITY.CYCLES_L1D_MISS, 0)) / tma_info_thread_clks",
4706635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_l1_bound_group",
4716635df2fSIan Rogers        "MetricName": "tma_dtlb_load",
4726635df2fSIan Rogers        "MetricThreshold": "tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
473*8c61edb8SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_INST_RETIRED.STLB_MISS_LOADS_PS. Related metrics: tma_dtlb_store, tma_info_bottleneck_memory_data_tlbs",
4746635df2fSIan Rogers        "ScaleUnit": "100%"
4756635df2fSIan Rogers    },
4766635df2fSIan Rogers    {
4776635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
478*8c61edb8SIan Rogers        "MetricExpr": "(9 * cpu@DTLB_STORE_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_STORE_MISSES.WALK_ACTIVE) / tma_info_core_core_clks",
4796635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_store_bound_group",
4806635df2fSIan Rogers        "MetricName": "tma_dtlb_store",
4816635df2fSIan Rogers        "MetricThreshold": "tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
482*8c61edb8SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_INST_RETIRED.STLB_MISS_STORES_PS. Related metrics: tma_dtlb_load, tma_info_bottleneck_memory_data_tlbs",
4836635df2fSIan Rogers        "ScaleUnit": "100%"
4846635df2fSIan Rogers    },
4856635df2fSIan Rogers    {
4866635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
4876635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
488*8c61edb8SIan Rogers        "MetricExpr": "(110 * tma_info_system_average_frequency * (OCR.DEMAND_RFO.L3_MISS.REMOTE_HITM + OCR.PF_L2_RFO.L3_MISS.REMOTE_HITM) + 47.5 * tma_info_system_average_frequency * (OCR.DEMAND_RFO.L3_HIT.HITM_OTHER_CORE + OCR.PF_L2_RFO.L3_HIT.HITM_OTHER_CORE)) / tma_info_thread_clks",
4896635df2fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_store_bound_group",
4906635df2fSIan Rogers        "MetricName": "tma_false_sharing",
4916635df2fSIan Rogers        "MetricThreshold": "tma_false_sharing > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
4926635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;OFFCORE_RESPONSE.DEMAND_RFO.L3_HIT.SNOOP_HITM. Related metrics: tma_contested_accesses, tma_data_sharing, tma_machine_clears, tma_remote_cache",
4936635df2fSIan Rogers        "ScaleUnit": "100%"
4946635df2fSIan Rogers    },
4956635df2fSIan Rogers    {
4966635df2fSIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
4976635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
498*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@ / tma_info_thread_clks",
4996635df2fSIan Rogers        "MetricGroup": "MemoryBW;TopdownL4;tma_L4_group;tma_issueBW;tma_issueSL;tma_issueSmSt;tma_l1_bound_group",
5006635df2fSIan Rogers        "MetricName": "tma_fb_full",
5016635df2fSIan Rogers        "MetricThreshold": "tma_fb_full > 0.3",
502*8c61edb8SIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory). Related metrics: tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full, tma_store_latency, tma_streaming_stores",
5036635df2fSIan Rogers        "ScaleUnit": "100%"
5046635df2fSIan Rogers    },
5056635df2fSIan Rogers    {
5066635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
5076635df2fSIan Rogers        "MetricExpr": "tma_frontend_bound - tma_fetch_latency",
5086635df2fSIan Rogers        "MetricGroup": "FetchBW;Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group;tma_issueFB",
5096635df2fSIan Rogers        "MetricName": "tma_fetch_bandwidth",
510*8c61edb8SIan Rogers        "MetricThreshold": "tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35",
511ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
512*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend. Sample with: FRONTEND_RETIRED.LATENCY_GE_2_BUBBLES_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_2_PS. Related metrics: tma_dsb_switches, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
5136635df2fSIan Rogers        "ScaleUnit": "100%"
5146635df2fSIan Rogers    },
5156635df2fSIan Rogers    {
5166635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
517*8c61edb8SIan Rogers        "MetricExpr": "4 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE / tma_info_thread_slots",
5186635df2fSIan Rogers        "MetricGroup": "Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group",
5196635df2fSIan Rogers        "MetricName": "tma_fetch_latency",
5206635df2fSIan Rogers        "MetricThreshold": "tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15",
521ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
5226635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: FRONTEND_RETIRED.LATENCY_GE_16_PS;FRONTEND_RETIRED.LATENCY_GE_8_PS",
5236635df2fSIan Rogers        "ScaleUnit": "100%"
5246635df2fSIan Rogers    },
5256635df2fSIan Rogers    {
5266635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops",
5276635df2fSIan Rogers        "MetricExpr": "tma_heavy_operations - tma_microcode_sequencer",
5286635df2fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueD0",
5296635df2fSIan Rogers        "MetricName": "tma_few_uops_instructions",
5306635df2fSIan Rogers        "MetricThreshold": "tma_few_uops_instructions > 0.05 & tma_heavy_operations > 0.1",
5316635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops. This highly-correlates with the number of uops in such instructions. Related metrics: tma_decoder0_alone",
5326635df2fSIan Rogers        "ScaleUnit": "100%"
5336635df2fSIan Rogers    },
5346635df2fSIan Rogers    {
5356635df2fSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
5366635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
5376635df2fSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
5386635df2fSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_L3_group;tma_light_operations_group",
5396635df2fSIan Rogers        "MetricName": "tma_fp_arith",
5406635df2fSIan Rogers        "MetricThreshold": "tma_fp_arith > 0.2 & tma_light_operations > 0.6",
5416635df2fSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
5426635df2fSIan Rogers        "ScaleUnit": "100%"
5436635df2fSIan Rogers    },
5446635df2fSIan Rogers    {
5456635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
5466635df2fSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ / UOPS_RETIRED.RETIRE_SLOTS",
5476635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
5486635df2fSIan Rogers        "MetricName": "tma_fp_scalar",
5496635df2fSIan Rogers        "MetricThreshold": "tma_fp_scalar > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
5506635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting. Related metrics: tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5516635df2fSIan Rogers        "ScaleUnit": "100%"
5526635df2fSIan Rogers    },
5536635df2fSIan Rogers    {
5546635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
5556635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
5566635df2fSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@ / UOPS_RETIRED.RETIRE_SLOTS",
5576635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
5586635df2fSIan Rogers        "MetricName": "tma_fp_vector",
5596635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
5606635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5616635df2fSIan Rogers        "ScaleUnit": "100%"
5626635df2fSIan Rogers    },
5636635df2fSIan Rogers    {
5646635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
5656635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
5666635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
5676635df2fSIan Rogers        "MetricName": "tma_fp_vector_128b",
5686635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_128b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
5696635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5706635df2fSIan Rogers        "ScaleUnit": "100%"
5716635df2fSIan Rogers    },
5726635df2fSIan Rogers    {
5736635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
5746635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
5756635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
5766635df2fSIan Rogers        "MetricName": "tma_fp_vector_256b",
5776635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_256b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
5786635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5796635df2fSIan Rogers        "ScaleUnit": "100%"
5806635df2fSIan Rogers    },
5816635df2fSIan Rogers    {
5826635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors",
5836635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
5846635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
5856635df2fSIan Rogers        "MetricName": "tma_fp_vector_512b",
5866635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_512b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
5876635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5886635df2fSIan Rogers        "ScaleUnit": "100%"
5896635df2fSIan Rogers    },
5906635df2fSIan Rogers    {
5916635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
592*8c61edb8SIan Rogers        "MetricExpr": "IDQ_UOPS_NOT_DELIVERED.CORE / tma_info_thread_slots",
5936635df2fSIan Rogers        "MetricGroup": "PGO;TmaL1;TopdownL1;tma_L1_group",
5946635df2fSIan Rogers        "MetricName": "tma_frontend_bound",
5956635df2fSIan Rogers        "MetricThreshold": "tma_frontend_bound > 0.15",
596ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
5976635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Pipeline_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound. Sample with: FRONTEND_RETIRED.LATENCY_GE_4_PS",
5986635df2fSIan Rogers        "ScaleUnit": "100%"
5996635df2fSIan Rogers    },
6006635df2fSIan Rogers    {
6016635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring fused instructions -- where one uop can represent multiple contiguous instructions",
6026635df2fSIan Rogers        "MetricExpr": "tma_light_operations * UOPS_RETIRED.MACRO_FUSED / UOPS_RETIRED.RETIRE_SLOTS",
6036635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
6046635df2fSIan Rogers        "MetricName": "tma_fused_instructions",
6056635df2fSIan Rogers        "MetricThreshold": "tma_fused_instructions > 0.1 & tma_light_operations > 0.6",
6066635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring fused instructions -- where one uop can represent multiple contiguous instructions. The instruction pairs of CMP+JCC or DEC+JCC are commonly used examples.",
6076635df2fSIan Rogers        "ScaleUnit": "100%"
6086635df2fSIan Rogers    },
6096635df2fSIan Rogers    {
6106635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences",
611*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_RETIRED.RETIRE_SLOTS + UOPS_RETIRED.MACRO_FUSED - INST_RETIRED.ANY) / tma_info_thread_slots",
6126635df2fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
6136635df2fSIan Rogers        "MetricName": "tma_heavy_operations",
6146635df2fSIan Rogers        "MetricThreshold": "tma_heavy_operations > 0.1",
615ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
6166635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences. This highly-correlates with the uop length of these instructions/sequences.",
6176635df2fSIan Rogers        "ScaleUnit": "100%"
6186635df2fSIan Rogers    },
6196635df2fSIan Rogers    {
6206635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses",
621*8c61edb8SIan Rogers        "MetricExpr": "(ICACHE_16B.IFDATA_STALL + 2 * cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@) / tma_info_thread_clks",
6226635df2fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;IcMiss;TopdownL3;tma_L3_group;tma_fetch_latency_group",
6236635df2fSIan Rogers        "MetricName": "tma_icache_misses",
6246635df2fSIan Rogers        "MetricThreshold": "tma_icache_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
6256635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses. Sample with: FRONTEND_RETIRED.L2_MISS_PS;FRONTEND_RETIRED.L1I_MISS_PS",
6266635df2fSIan Rogers        "ScaleUnit": "100%"
6276635df2fSIan Rogers    },
6286635df2fSIan Rogers    {
6296635df2fSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
630*8c61edb8SIan Rogers        "MetricExpr": "(tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) * tma_info_thread_slots / BR_MISP_RETIRED.ALL_BRANCHES",
6316635df2fSIan Rogers        "MetricGroup": "Bad;BrMispredicts;tma_issueBM",
632*8c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_branch_misprediction_cost",
633*8c61edb8SIan Rogers        "PublicDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear). Related metrics: tma_branch_mispredicts, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers"
6346635df2fSIan Rogers    },
6356635df2fSIan Rogers    {
636*8c61edb8SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for indirect CALL or JMP branches (lower number means higher occurrence rate).",
637*8c61edb8SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / (UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * cpu@BR_MISP_EXEC.ALL_BRANCHES\\,umask\\=0xE4@)",
638*8c61edb8SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
639*8c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_indirect",
640*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_indirect < 1e3"
6416635df2fSIan Rogers    },
6426635df2fSIan Rogers    {
643*8c61edb8SIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
644*8c61edb8SIan Rogers        "MetricExpr": "tma_info_core_ipmispredict",
645*8c61edb8SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
646*8c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_ipmispredict",
647*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmispredict < 200"
6486635df2fSIan Rogers    },
6496635df2fSIan Rogers    {
6506635df2fSIan Rogers        "BriefDescription": "Probability of Core Bound bottleneck hidden by SMT-profiling artifacts",
6516635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
652*8c61edb8SIan Rogers        "MetricExpr": "(100 * (1 - tma_core_bound / tma_ports_utilization if tma_core_bound < tma_ports_utilization else 1) if tma_info_system_smt_2t_utilization > 0.5 else 0)",
6536635df2fSIan Rogers        "MetricGroup": "Cor;SMT",
654*8c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l0_core_bound_likely",
655*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l0_core_bound_likely > 0.5"
6566635df2fSIan Rogers    },
6576635df2fSIan Rogers    {
6586635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck",
6596635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
6606635df2fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_dsb_switches / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) + tma_fetch_bandwidth * tma_mite / (tma_dsb + tma_mite))",
6616635df2fSIan Rogers        "MetricGroup": "DSBmiss;Fed;tma_issueFB",
662*8c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l2_dsb_misses",
663*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_dsb_misses > 10",
664*8c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp"
6656635df2fSIan Rogers    },
6666635df2fSIan Rogers    {
6676635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck",
6686635df2fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_icache_misses / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
6696635df2fSIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss;tma_issueFL",
670*8c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l2_ic_misses",
671*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_ic_misses > 5",
6726635df2fSIan Rogers        "PublicDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck. Related metrics: "
6736635df2fSIan Rogers    },
6746635df2fSIan Rogers    {
675*8c61edb8SIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses)",
676*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
677*8c61edb8SIan Rogers        "MetricExpr": "100 * tma_fetch_latency * (tma_itlb_misses + tma_icache_misses + tma_unknown_branches) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)",
678*8c61edb8SIan Rogers        "MetricGroup": "BigFoot;Fed;Frontend;IcMiss;MemoryTLB;tma_issueBC",
679*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_big_code",
680*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_big_code > 20",
681*8c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses). Related metrics: tma_info_bottleneck_branching_overhead"
6826635df2fSIan Rogers    },
6836635df2fSIan Rogers    {
684*8c61edb8SIan Rogers        "BriefDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls)",
685*8c61edb8SIan Rogers        "MetricExpr": "100 * ((BR_INST_RETIRED.CONDITIONAL + 3 * BR_INST_RETIRED.NEAR_CALL + (BR_INST_RETIRED.NEAR_TAKEN - (BR_INST_RETIRED.CONDITIONAL - BR_INST_RETIRED.NOT_TAKEN) - 2 * BR_INST_RETIRED.NEAR_CALL)) / tma_info_thread_slots)",
686*8c61edb8SIan Rogers        "MetricGroup": "Ret;tma_issueBC",
687*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_branching_overhead",
688*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_branching_overhead > 10",
689*8c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls). Related metrics: tma_info_bottleneck_big_code"
6906635df2fSIan Rogers    },
6916635df2fSIan Rogers    {
6926635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch bandwidth related bottlenecks",
6936635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
694*8c61edb8SIan Rogers        "MetricExpr": "100 * (tma_frontend_bound - tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) - tma_info_bottleneck_big_code",
6956635df2fSIan Rogers        "MetricGroup": "Fed;FetchBW;Frontend",
696*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_instruction_fetch_bw",
697*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_instruction_fetch_bw > 20"
6986635df2fSIan Rogers    },
6996635df2fSIan Rogers    {
7006635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks",
7016635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
7026635df2fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_mem_bandwidth / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_sq_full / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full))) + tma_l1_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_fb_full / (tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk))",
7036635df2fSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore;tma_issueBW",
704*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_memory_bandwidth",
705*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_bandwidth > 20",
706*8c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks. Related metrics: tma_fb_full, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full"
7076635df2fSIan Rogers    },
7086635df2fSIan Rogers    {
7096635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs)",
7106635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
7116635df2fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_l1_bound / max(tma_memory_bound, tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_dtlb_load / max(tma_l1_bound, tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)) + tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_dtlb_store / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency)))",
7126635df2fSIan Rogers        "MetricGroup": "Mem;MemoryTLB;Offcore;tma_issueTLB",
713*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_memory_data_tlbs",
714*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_data_tlbs > 20",
7156635df2fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs). Related metrics: tma_dtlb_load, tma_dtlb_store"
7166635df2fSIan Rogers    },
7176635df2fSIan Rogers    {
7186635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches)",
7196635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
7206635df2fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_mem_latency / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_l3_hit_latency / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full)) + tma_l2_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound))",
7216635df2fSIan Rogers        "MetricGroup": "Mem;MemoryLat;Offcore;tma_issueLat",
722*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_memory_latency",
723*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_latency > 20",
7246635df2fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches). Related metrics: tma_l3_hit_latency, tma_mem_latency"
7256635df2fSIan Rogers    },
7266635df2fSIan Rogers    {
7276635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Branch Misprediction related bottlenecks",
7286635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
7296635df2fSIan Rogers        "MetricExpr": "100 * (tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
7306635df2fSIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts;tma_issueBM",
731*8c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_mispredictions",
732*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_mispredictions > 20",
733*8c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of Branch Misprediction related bottlenecks. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_mispredicts_resteers"
734*8c61edb8SIan Rogers    },
735*8c61edb8SIan Rogers    {
736*8c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are CALL or RET",
737*8c61edb8SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_CALL + BR_INST_RETIRED.NEAR_RETURN) / BR_INST_RETIRED.ALL_BRANCHES",
738*8c61edb8SIan Rogers        "MetricGroup": "Bad;Branches",
739*8c61edb8SIan Rogers        "MetricName": "tma_info_branches_callret"
740*8c61edb8SIan Rogers    },
741*8c61edb8SIan Rogers    {
742*8c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are non-taken conditionals",
743*8c61edb8SIan Rogers        "MetricExpr": "BR_INST_RETIRED.NOT_TAKEN / BR_INST_RETIRED.ALL_BRANCHES",
744*8c61edb8SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
745*8c61edb8SIan Rogers        "MetricName": "tma_info_branches_cond_nt"
746*8c61edb8SIan Rogers    },
747*8c61edb8SIan Rogers    {
748*8c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are taken conditionals",
749*8c61edb8SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.CONDITIONAL - BR_INST_RETIRED.NOT_TAKEN) / BR_INST_RETIRED.ALL_BRANCHES",
750*8c61edb8SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
751*8c61edb8SIan Rogers        "MetricName": "tma_info_branches_cond_tk"
752*8c61edb8SIan Rogers    },
753*8c61edb8SIan Rogers    {
754*8c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are unconditional (direct or indirect) jumps",
755*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
756*8c61edb8SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_TAKEN - (BR_INST_RETIRED.CONDITIONAL - BR_INST_RETIRED.NOT_TAKEN) - 2 * BR_INST_RETIRED.NEAR_CALL) / BR_INST_RETIRED.ALL_BRANCHES",
757*8c61edb8SIan Rogers        "MetricGroup": "Bad;Branches",
758*8c61edb8SIan Rogers        "MetricName": "tma_info_branches_jump"
759*8c61edb8SIan Rogers    },
760*8c61edb8SIan Rogers    {
761*8c61edb8SIan Rogers        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
762*8c61edb8SIan Rogers        "MetricExpr": "(CPU_CLK_UNHALTED.THREAD / 2 * (1 + CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_XCLK) if #core_wide < 1 else (CPU_CLK_UNHALTED.THREAD_ANY / 2 if #SMT_on else tma_info_thread_clks))",
763*8c61edb8SIan Rogers        "MetricGroup": "SMT",
764*8c61edb8SIan Rogers        "MetricName": "tma_info_core_core_clks"
765*8c61edb8SIan Rogers    },
766*8c61edb8SIan Rogers    {
767*8c61edb8SIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
768*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_core_core_clks",
769*8c61edb8SIan Rogers        "MetricGroup": "Ret;SMT;TmaL1;tma_L1_group",
770*8c61edb8SIan Rogers        "MetricName": "tma_info_core_coreipc"
771*8c61edb8SIan Rogers    },
772*8c61edb8SIan Rogers    {
773*8c61edb8SIan Rogers        "BriefDescription": "Floating Point Operations Per Cycle",
774*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
775*8c61edb8SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * (FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE) + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / tma_info_core_core_clks",
776*8c61edb8SIan Rogers        "MetricGroup": "Flops;Ret",
777*8c61edb8SIan Rogers        "MetricName": "tma_info_core_flopc"
778*8c61edb8SIan Rogers    },
779*8c61edb8SIan Rogers    {
780*8c61edb8SIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
781*8c61edb8SIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@) / (2 * tma_info_core_core_clks)",
782*8c61edb8SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
783*8c61edb8SIan Rogers        "MetricName": "tma_info_core_fp_arith_utilization",
784*8c61edb8SIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
785*8c61edb8SIan Rogers    },
786*8c61edb8SIan Rogers    {
787*8c61edb8SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
788*8c61edb8SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / (UOPS_EXECUTED.CORE_CYCLES_GE_1 / 2 if #SMT_on else UOPS_EXECUTED.CORE_CYCLES_GE_1)",
789*8c61edb8SIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
790*8c61edb8SIan Rogers        "MetricName": "tma_info_core_ilp"
791*8c61edb8SIan Rogers    },
792*8c61edb8SIan Rogers    {
793*8c61edb8SIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear)",
794*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
795*8c61edb8SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts;TopdownL1;tma_L1_group",
796*8c61edb8SIan Rogers        "MetricName": "tma_info_core_ipmispredict",
797*8c61edb8SIan Rogers        "MetricgroupNoGroup": "TopdownL1"
798*8c61edb8SIan Rogers    },
799*8c61edb8SIan Rogers    {
800*8c61edb8SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
801*8c61edb8SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS)",
802*8c61edb8SIan Rogers        "MetricGroup": "DSB;Fed;FetchBW;tma_issueFB",
803*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_dsb_coverage",
804*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_frontend_dsb_coverage < 0.7 & tma_info_thread_ipc / 4 > 0.35",
805*8c61edb8SIan Rogers        "PublicDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache). Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_inst_mix_iptb, tma_lcp"
806*8c61edb8SIan Rogers    },
807*8c61edb8SIan Rogers    {
808*8c61edb8SIan Rogers        "BriefDescription": "Average number of cycles of a switch from the DSB fetch-unit to MITE fetch unit - see DSB_Switches tree node for details.",
809*8c61edb8SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / DSB2MITE_SWITCHES.COUNT",
810*8c61edb8SIan Rogers        "MetricGroup": "DSBmiss",
811*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_dsb_switch_cost"
812*8c61edb8SIan Rogers    },
813*8c61edb8SIan Rogers    {
814*8c61edb8SIan Rogers        "BriefDescription": "Average number of Uops issued by front-end when it issued something",
815*8c61edb8SIan Rogers        "MetricExpr": "UOPS_ISSUED.ANY / cpu@UOPS_ISSUED.ANY\\,cmask\\=1@",
816*8c61edb8SIan Rogers        "MetricGroup": "Fed;FetchBW",
817*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_fetch_upc"
818*8c61edb8SIan Rogers    },
819*8c61edb8SIan Rogers    {
820*8c61edb8SIan Rogers        "BriefDescription": "Average Latency for L1 instruction cache misses",
821*8c61edb8SIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@ + 2",
822*8c61edb8SIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss",
823*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_icache_miss_latency"
824*8c61edb8SIan Rogers    },
825*8c61edb8SIan Rogers    {
826*8c61edb8SIan Rogers        "BriefDescription": "Instructions per non-speculative DSB miss (lower number means higher occurrence rate)",
827*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FRONTEND_RETIRED.ANY_DSB_MISS",
828*8c61edb8SIan Rogers        "MetricGroup": "DSBmiss;Fed",
829*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_ipdsb_miss_ret",
830*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_frontend_ipdsb_miss_ret < 50"
831*8c61edb8SIan Rogers    },
832*8c61edb8SIan Rogers    {
833*8c61edb8SIan Rogers        "BriefDescription": "Instructions per speculative Unknown Branch Misprediction (BAClear) (lower number means higher occurrence rate)",
834*8c61edb8SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / BACLEARS.ANY",
835*8c61edb8SIan Rogers        "MetricGroup": "Fed",
836*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_ipunknown_branch"
837*8c61edb8SIan Rogers    },
838*8c61edb8SIan Rogers    {
839*8c61edb8SIan Rogers        "BriefDescription": "L2 cache true code cacheline misses per kilo instruction",
840*8c61edb8SIan Rogers        "MetricExpr": "1e3 * FRONTEND_RETIRED.L2_MISS / INST_RETIRED.ANY",
841*8c61edb8SIan Rogers        "MetricGroup": "IcMiss",
842*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code"
843*8c61edb8SIan Rogers    },
844*8c61edb8SIan Rogers    {
845*8c61edb8SIan Rogers        "BriefDescription": "L2 cache speculative code cacheline misses per kilo instruction",
846*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
847*8c61edb8SIan Rogers        "MetricGroup": "IcMiss",
848*8c61edb8SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code_all"
849*8c61edb8SIan Rogers    },
850*8c61edb8SIan Rogers    {
851*8c61edb8SIan Rogers        "BriefDescription": "Branch instructions per taken branch.",
852*8c61edb8SIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
853*8c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
854*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_bptkbranch"
855*8c61edb8SIan Rogers    },
856*8c61edb8SIan Rogers    {
857*8c61edb8SIan Rogers        "BriefDescription": "Total number of retired Instructions",
858*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
859*8c61edb8SIan Rogers        "MetricGroup": "Summary;TmaL1;tma_L1_group",
860*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_instructions",
861*8c61edb8SIan Rogers        "PublicDescription": "Total number of retired Instructions. Sample with: INST_RETIRED.PREC_DIST"
862*8c61edb8SIan Rogers    },
863*8c61edb8SIan Rogers    {
864*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
865*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
866*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@)",
867*8c61edb8SIan Rogers        "MetricGroup": "Flops;InsType",
868*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith",
869*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith < 10",
870*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). May undercount due to FMA double counting. Approximated prior to BDW."
871*8c61edb8SIan Rogers    },
872*8c61edb8SIan Rogers    {
873*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
874*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
875*8c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
876*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx128",
877*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx128 < 10",
878*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
879*8c61edb8SIan Rogers    },
880*8c61edb8SIan Rogers    {
881*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
882*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
883*8c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
884*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx256",
885*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx256 < 10",
886*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
887*8c61edb8SIan Rogers    },
888*8c61edb8SIan Rogers    {
889*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate)",
890*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
891*8c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
892*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx512",
893*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx512 < 10",
894*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
895*8c61edb8SIan Rogers    },
896*8c61edb8SIan Rogers    {
897*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
898*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
899*8c61edb8SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
900*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_dp",
901*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_dp < 10",
902*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
903*8c61edb8SIan Rogers    },
904*8c61edb8SIan Rogers    {
905*8c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
906*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
907*8c61edb8SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
908*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_sp",
909*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_sp < 10",
910*8c61edb8SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
911*8c61edb8SIan Rogers    },
912*8c61edb8SIan Rogers    {
913*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
914*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
915*8c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;InsType",
916*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipbranch",
917*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipbranch < 8"
918*8c61edb8SIan Rogers    },
919*8c61edb8SIan Rogers    {
920*8c61edb8SIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
921*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
922*8c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
923*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipcall",
924*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipcall < 200"
925*8c61edb8SIan Rogers    },
926*8c61edb8SIan Rogers    {
927*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
928*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
929*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * (FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE) + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
930*8c61edb8SIan Rogers        "MetricGroup": "Flops;InsType",
931*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipflop",
932*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipflop < 10"
933*8c61edb8SIan Rogers    },
934*8c61edb8SIan Rogers    {
935*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
936*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_LOADS",
937*8c61edb8SIan Rogers        "MetricGroup": "InsType",
938*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipload",
939*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipload < 3"
940*8c61edb8SIan Rogers    },
941*8c61edb8SIan Rogers    {
942*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
943*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_STORES",
944*8c61edb8SIan Rogers        "MetricGroup": "InsType",
945*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipstore",
946*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipstore < 8"
947*8c61edb8SIan Rogers    },
948*8c61edb8SIan Rogers    {
949*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Software prefetch instruction (of any type: NTA/T0/T1/T2/Prefetch) (lower number means higher occurrence rate)",
950*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / cpu@SW_PREFETCH_ACCESS.T0\\,umask\\=0xF@",
951*8c61edb8SIan Rogers        "MetricGroup": "Prefetches",
952*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipswpf",
953*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipswpf < 100"
954*8c61edb8SIan Rogers    },
955*8c61edb8SIan Rogers    {
956*8c61edb8SIan Rogers        "BriefDescription": "Instruction per taken branch",
957*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
958*8c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO;tma_issueFB",
959*8c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iptb",
960*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iptb < 9",
961*8c61edb8SIan Rogers        "PublicDescription": "Instruction per taken branch. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_lcp"
962*8c61edb8SIan Rogers    },
963*8c61edb8SIan Rogers    {
964*8c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
965*8c61edb8SIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1e9 / duration_time",
966*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
967*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l1d_cache_fill_bw"
968*8c61edb8SIan Rogers    },
969*8c61edb8SIan Rogers    {
970*8c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
971*8c61edb8SIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1e9 / duration_time",
972*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
973*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l2_cache_fill_bw"
974*8c61edb8SIan Rogers    },
975*8c61edb8SIan Rogers    {
976*8c61edb8SIan Rogers        "BriefDescription": "Rate of non silent evictions from the L2 cache per Kilo instruction",
977*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_LINES_OUT.NON_SILENT / tma_info_inst_mix_instructions",
978*8c61edb8SIan Rogers        "MetricGroup": "L2Evicts;Mem;Server",
979*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l2_evictions_nonsilent_pki"
980*8c61edb8SIan Rogers    },
981*8c61edb8SIan Rogers    {
982*8c61edb8SIan Rogers        "BriefDescription": "Rate of silent evictions from the L2 cache per Kilo instruction where the evicted lines are dropped (no writeback to L3 or memory)",
983*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_LINES_OUT.SILENT / tma_info_inst_mix_instructions",
984*8c61edb8SIan Rogers        "MetricGroup": "L2Evicts;Mem;Server",
985*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l2_evictions_silent_pki"
986*8c61edb8SIan Rogers    },
987*8c61edb8SIan Rogers    {
988*8c61edb8SIan Rogers        "BriefDescription": "Average per-core data access bandwidth to the L3 cache [GB / sec]",
989*8c61edb8SIan Rogers        "MetricExpr": "64 * OFFCORE_REQUESTS.ALL_REQUESTS / 1e9 / duration_time",
990*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
991*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_access_bw"
992*8c61edb8SIan Rogers    },
993*8c61edb8SIan Rogers    {
994*8c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
995*8c61edb8SIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1e9 / duration_time",
996*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
997*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_fill_bw"
998*8c61edb8SIan Rogers    },
999*8c61edb8SIan Rogers    {
1000*8c61edb8SIan Rogers        "BriefDescription": "Fill Buffer (FB) hits per kilo instructions for retired demand loads (L1D misses that merge into ongoing miss-handling entries)",
1001*8c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.FB_HIT / INST_RETIRED.ANY",
1002*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1003*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_fb_hpki"
1004*8c61edb8SIan Rogers    },
1005*8c61edb8SIan Rogers    {
1006*8c61edb8SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
1007*8c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L1_MISS / INST_RETIRED.ANY",
1008*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1009*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l1mpki"
1010*8c61edb8SIan Rogers    },
1011*8c61edb8SIan Rogers    {
1012*8c61edb8SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for all demand loads (including speculative)",
1013*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.ALL_DEMAND_DATA_RD / INST_RETIRED.ANY",
1014*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1015*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l1mpki_load"
1016*8c61edb8SIan Rogers    },
1017*8c61edb8SIan Rogers    {
1018*8c61edb8SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
1019*8c61edb8SIan Rogers        "MetricExpr": "1e3 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
1020*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1021*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2hpki_all"
1022*8c61edb8SIan Rogers    },
1023*8c61edb8SIan Rogers    {
1024*8c61edb8SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
1025*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
1026*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1027*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2hpki_load"
1028*8c61edb8SIan Rogers    },
1029*8c61edb8SIan Rogers    {
1030*8c61edb8SIan Rogers        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
1031*8c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
1032*8c61edb8SIan Rogers        "MetricGroup": "Backend;CacheMisses;Mem",
1033*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki"
1034*8c61edb8SIan Rogers    },
1035*8c61edb8SIan Rogers    {
1036*8c61edb8SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
1037*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.MISS / INST_RETIRED.ANY",
1038*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem;Offcore",
1039*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki_all"
1040*8c61edb8SIan Rogers    },
1041*8c61edb8SIan Rogers    {
1042*8c61edb8SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
1043*8c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
1044*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1045*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki_load"
1046*8c61edb8SIan Rogers    },
1047*8c61edb8SIan Rogers    {
1048*8c61edb8SIan Rogers        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
1049*8c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L3_MISS / INST_RETIRED.ANY",
1050*8c61edb8SIan Rogers        "MetricGroup": "CacheMisses;Mem",
1051*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_l3mpki"
1052*8c61edb8SIan Rogers    },
1053*8c61edb8SIan Rogers    {
1054*8c61edb8SIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
1055*8c61edb8SIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_RETIRED.L1_MISS + MEM_LOAD_RETIRED.FB_HIT)",
1056*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
1057*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_load_miss_real_latency"
10586635df2fSIan Rogers    },
10596635df2fSIan Rogers    {
10606635df2fSIan Rogers        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss",
10616635df2fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
10626635df2fSIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
1063*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_mlp",
10646635df2fSIan Rogers        "PublicDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)"
10656635df2fSIan Rogers    },
10666635df2fSIan Rogers    {
1067*8c61edb8SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss data reads",
1068*8c61edb8SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD",
1069*8c61edb8SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
1070*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_oro_data_l2_mlp"
1071*8c61edb8SIan Rogers    },
1072*8c61edb8SIan Rogers    {
1073*8c61edb8SIan Rogers        "BriefDescription": "Average Latency for L2 cache miss demand Loads",
1074*8c61edb8SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS.DEMAND_DATA_RD",
1075*8c61edb8SIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
1076*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_miss_latency"
1077*8c61edb8SIan Rogers    },
1078*8c61edb8SIan Rogers    {
1079*8c61edb8SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss demand Loads",
1080*8c61edb8SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_DATA_RD",
1081*8c61edb8SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
1082*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_mlp"
1083*8c61edb8SIan Rogers    },
1084*8c61edb8SIan Rogers    {
1085*8c61edb8SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
1086*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_core_l1d_cache_fill_bw",
1087*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1088*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_thread_l1d_cache_fill_bw_1t"
1089*8c61edb8SIan Rogers    },
1090*8c61edb8SIan Rogers    {
1091*8c61edb8SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
1092*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_core_l2_cache_fill_bw",
1093*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1094*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_thread_l2_cache_fill_bw_1t"
1095*8c61edb8SIan Rogers    },
1096*8c61edb8SIan Rogers    {
1097*8c61edb8SIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
1098*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_core_l3_cache_access_bw",
1099*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
1100*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_access_bw_1t"
1101*8c61edb8SIan Rogers    },
1102*8c61edb8SIan Rogers    {
1103*8c61edb8SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
1104*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_core_l3_cache_fill_bw",
1105*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1106*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_fill_bw_1t"
1107*8c61edb8SIan Rogers    },
1108*8c61edb8SIan Rogers    {
1109*8c61edb8SIan Rogers        "BriefDescription": "STLB (2nd level TLB) code speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
1110*8c61edb8SIan Rogers        "MetricExpr": "1e3 * ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
1111*8c61edb8SIan Rogers        "MetricGroup": "Fed;MemoryTLB",
1112*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_code_stlb_mpki"
1113*8c61edb8SIan Rogers    },
1114*8c61edb8SIan Rogers    {
1115*8c61edb8SIan Rogers        "BriefDescription": "STLB (2nd level TLB) data load speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
1116*8c61edb8SIan Rogers        "MetricExpr": "1e3 * DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
1117*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryTLB",
1118*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_load_stlb_mpki"
1119*8c61edb8SIan Rogers    },
1120*8c61edb8SIan Rogers    {
11216635df2fSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
11226635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1123*8c61edb8SIan Rogers        "MetricExpr": "(ITLB_MISSES.WALK_PENDING + DTLB_LOAD_MISSES.WALK_PENDING + DTLB_STORE_MISSES.WALK_PENDING + EPT.WALK_PENDING) / (2 * tma_info_core_core_clks)",
11246635df2fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
1125*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_page_walks_utilization",
1126*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_memory_tlb_page_walks_utilization > 0.5"
11276635df2fSIan Rogers    },
11286635df2fSIan Rogers    {
11296635df2fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) data store speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
11306635df2fSIan Rogers        "MetricExpr": "1e3 * DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
11316635df2fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
1132*8c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_store_stlb_mpki"
1133*8c61edb8SIan Rogers    },
1134*8c61edb8SIan Rogers    {
1135*8c61edb8SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-thread",
1136*8c61edb8SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
1137*8c61edb8SIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
1138*8c61edb8SIan Rogers        "MetricName": "tma_info_pipeline_execute"
1139*8c61edb8SIan Rogers    },
1140*8c61edb8SIan Rogers    {
1141*8c61edb8SIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
1142*8c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / cpu@UOPS_RETIRED.RETIRE_SLOTS\\,cmask\\=1@",
1143*8c61edb8SIan Rogers        "MetricGroup": "Pipeline;Ret",
1144*8c61edb8SIan Rogers        "MetricName": "tma_info_pipeline_retire"
1145*8c61edb8SIan Rogers    },
1146*8c61edb8SIan Rogers    {
1147*8c61edb8SIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
1148*8c61edb8SIan Rogers        "MetricExpr": "tma_info_system_turbo_utilization * TSC / 1e9 / duration_time",
1149*8c61edb8SIan Rogers        "MetricGroup": "Power;Summary",
1150*8c61edb8SIan Rogers        "MetricName": "tma_info_system_average_frequency"
1151*8c61edb8SIan Rogers    },
1152*8c61edb8SIan Rogers    {
1153*8c61edb8SIan Rogers        "BriefDescription": "Average CPU Utilization",
1154*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / TSC",
1155*8c61edb8SIan Rogers        "MetricGroup": "HPC;Summary",
1156*8c61edb8SIan Rogers        "MetricName": "tma_info_system_cpu_utilization"
1157*8c61edb8SIan Rogers    },
1158*8c61edb8SIan Rogers    {
1159*8c61edb8SIan Rogers        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
1160*8c61edb8SIan Rogers        "MetricExpr": "64 * (UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) / 1e9 / duration_time",
1161*8c61edb8SIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC;tma_issueBW",
1162*8c61edb8SIan Rogers        "MetricName": "tma_info_system_dram_bw_use",
1163*8c61edb8SIan Rogers        "PublicDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]. Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_mem_bandwidth, tma_sq_full"
1164*8c61edb8SIan Rogers    },
1165*8c61edb8SIan Rogers    {
1166*8c61edb8SIan Rogers        "BriefDescription": "Giga Floating Point Operations Per Second",
1167*8c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1168*8c61edb8SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * (FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE) + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / 1e9 / duration_time",
1169*8c61edb8SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
1170*8c61edb8SIan Rogers        "MetricName": "tma_info_system_gflops",
1171*8c61edb8SIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
1172*8c61edb8SIan Rogers    },
1173*8c61edb8SIan Rogers    {
1174*8c61edb8SIan Rogers        "BriefDescription": "Average IO (network or disk) Bandwidth Use for Reads [GB / sec]",
1175*8c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART3) * 4 / 1e9 / duration_time",
1176*8c61edb8SIan Rogers        "MetricGroup": "IoBW;Mem;Server;SoC",
1177*8c61edb8SIan Rogers        "MetricName": "tma_info_system_io_read_bw"
1178*8c61edb8SIan Rogers    },
1179*8c61edb8SIan Rogers    {
1180*8c61edb8SIan Rogers        "BriefDescription": "Average IO (network or disk) Bandwidth Use for Writes [GB / sec]",
1181*8c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART3) * 4 / 1e9 / duration_time",
1182*8c61edb8SIan Rogers        "MetricGroup": "IoBW;Mem;Server;SoC",
1183*8c61edb8SIan Rogers        "MetricName": "tma_info_system_io_write_bw"
1184*8c61edb8SIan Rogers    },
1185*8c61edb8SIan Rogers    {
1186*8c61edb8SIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
1187*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
1188*8c61edb8SIan Rogers        "MetricGroup": "Branches;OS",
1189*8c61edb8SIan Rogers        "MetricName": "tma_info_system_ipfarbranch",
1190*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_ipfarbranch < 1e6"
1191*8c61edb8SIan Rogers    },
1192*8c61edb8SIan Rogers    {
1193*8c61edb8SIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
1194*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
1195*8c61edb8SIan Rogers        "MetricGroup": "OS",
1196*8c61edb8SIan Rogers        "MetricName": "tma_info_system_kernel_cpi"
1197*8c61edb8SIan Rogers    },
1198*8c61edb8SIan Rogers    {
1199*8c61edb8SIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
1200*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
1201*8c61edb8SIan Rogers        "MetricGroup": "OS",
1202*8c61edb8SIan Rogers        "MetricName": "tma_info_system_kernel_utilization",
1203*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_kernel_utilization > 0.05"
1204*8c61edb8SIan Rogers    },
1205*8c61edb8SIan Rogers    {
1206*8c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external DRAM memory [in nanoseconds]",
1207*8c61edb8SIan Rogers        "MetricExpr": "1e9 * (UNC_M_RPQ_OCCUPANCY / UNC_M_RPQ_INSERTS) / imc_0@event\\=0x0@",
1208*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryLat;Server;SoC",
1209*8c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_dram_read_latency",
1210*8c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external DRAM memory [in nanoseconds]. Accounts for demand loads and L1/L2 data-read prefetches"
1211*8c61edb8SIan Rogers    },
1212*8c61edb8SIan Rogers    {
1213*8c61edb8SIan Rogers        "BriefDescription": "Average number of parallel data read requests to external memory",
1214*8c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD / UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD@thresh\\=1@",
1215*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;SoC",
1216*8c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_parallel_reads",
1217*8c61edb8SIan Rogers        "PublicDescription": "Average number of parallel data read requests to external memory. Accounts for demand loads and L1/L2 prefetches"
1218*8c61edb8SIan Rogers    },
1219*8c61edb8SIan Rogers    {
1220*8c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external 3D X-Point memory [in nanoseconds]",
1221*8c61edb8SIan Rogers        "MetricExpr": "(1e9 * (UNC_M_PMM_RPQ_OCCUPANCY.ALL / UNC_M_PMM_RPQ_INSERTS) / imc_0@event\\=0x0@ if #has_pmem > 0 else 0)",
1222*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryLat;Server;SoC",
1223*8c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_pmm_read_latency",
1224*8c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external 3D X-Point memory [in nanoseconds]. Accounts for demand loads and L1/L2 data-read prefetches"
1225*8c61edb8SIan Rogers    },
1226*8c61edb8SIan Rogers    {
1227*8c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external memory (in nanoseconds)",
1228*8c61edb8SIan Rogers        "MetricExpr": "1e9 * (UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD / UNC_CHA_TOR_INSERTS.IA_MISS_DRD) / (tma_info_system_socket_clks / duration_time)",
1229*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryLat;SoC",
1230*8c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_read_latency",
1231*8c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external memory (in nanoseconds). Accounts for demand loads and L1/L2 prefetches. ([RKL+]memory-controller only)"
1232*8c61edb8SIan Rogers    },
1233*8c61edb8SIan Rogers    {
1234*8c61edb8SIan Rogers        "BriefDescription": "Average 3DXP Memory Bandwidth Use for reads [GB / sec]",
1235*8c61edb8SIan Rogers        "MetricExpr": "(64 * UNC_M_PMM_RPQ_INSERTS / 1e9 / duration_time if #has_pmem > 0 else 0)",
1236*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;Server;SoC",
1237*8c61edb8SIan Rogers        "MetricName": "tma_info_system_pmm_read_bw"
1238*8c61edb8SIan Rogers    },
1239*8c61edb8SIan Rogers    {
1240*8c61edb8SIan Rogers        "BriefDescription": "Average 3DXP Memory Bandwidth Use for Writes [GB / sec]",
1241*8c61edb8SIan Rogers        "MetricExpr": "(64 * UNC_M_PMM_WPQ_INSERTS / 1e9 / duration_time if #has_pmem > 0 else 0)",
1242*8c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;Server;SoC",
1243*8c61edb8SIan Rogers        "MetricName": "tma_info_system_pmm_write_bw"
1244*8c61edb8SIan Rogers    },
1245*8c61edb8SIan Rogers    {
1246*8c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0",
1247*8c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL0_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL0_TURBO_LICENSE / tma_info_core_core_clks)",
1248*8c61edb8SIan Rogers        "MetricGroup": "Power",
1249*8c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license0_utilization",
1250*8c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0.  This includes non-AVX codes, SSE, AVX 128-bit, and low-current AVX 256-bit codes."
1251*8c61edb8SIan Rogers    },
1252*8c61edb8SIan Rogers    {
1253*8c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1",
1254*8c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL1_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL1_TURBO_LICENSE / tma_info_core_core_clks)",
1255*8c61edb8SIan Rogers        "MetricGroup": "Power",
1256*8c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license1_utilization",
1257*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_power_license1_utilization > 0.5",
1258*8c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1.  This includes high current AVX 256-bit instructions as well as low current AVX 512-bit instructions."
1259*8c61edb8SIan Rogers    },
1260*8c61edb8SIan Rogers    {
1261*8c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX)",
1262*8c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL2_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL2_TURBO_LICENSE / tma_info_core_core_clks)",
1263*8c61edb8SIan Rogers        "MetricGroup": "Power",
1264*8c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license2_utilization",
1265*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_power_license2_utilization > 0.5",
1266*8c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX).  This includes high current AVX 512-bit instructions."
1267*8c61edb8SIan Rogers    },
1268*8c61edb8SIan Rogers    {
1269*8c61edb8SIan Rogers        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
1270*8c61edb8SIan Rogers        "MetricExpr": "(1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / (CPU_CLK_UNHALTED.REF_XCLK_ANY / 2) if #SMT_on else 0)",
1271*8c61edb8SIan Rogers        "MetricGroup": "SMT",
1272*8c61edb8SIan Rogers        "MetricName": "tma_info_system_smt_2t_utilization"
1273*8c61edb8SIan Rogers    },
1274*8c61edb8SIan Rogers    {
1275*8c61edb8SIan Rogers        "BriefDescription": "Socket actual clocks when any core is active on that socket",
1276*8c61edb8SIan Rogers        "MetricExpr": "cha_0@event\\=0x0@",
1277*8c61edb8SIan Rogers        "MetricGroup": "SoC",
1278*8c61edb8SIan Rogers        "MetricName": "tma_info_system_socket_clks"
12796635df2fSIan Rogers    },
12806635df2fSIan Rogers    {
12816635df2fSIan Rogers        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
1282*8c61edb8SIan Rogers        "MetricExpr": "tma_info_thread_clks / CPU_CLK_UNHALTED.REF_TSC",
12836635df2fSIan Rogers        "MetricGroup": "Power",
1284*8c61edb8SIan Rogers        "MetricName": "tma_info_system_turbo_utilization"
1285*8c61edb8SIan Rogers    },
1286*8c61edb8SIan Rogers    {
1287*8c61edb8SIan Rogers        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
1288*8c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
1289*8c61edb8SIan Rogers        "MetricGroup": "Pipeline",
1290*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_clks"
1291*8c61edb8SIan Rogers    },
1292*8c61edb8SIan Rogers    {
1293*8c61edb8SIan Rogers        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
1294*8c61edb8SIan Rogers        "MetricExpr": "1 / tma_info_thread_ipc",
1295*8c61edb8SIan Rogers        "MetricGroup": "Mem;Pipeline",
1296*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_cpi"
1297*8c61edb8SIan Rogers    },
1298*8c61edb8SIan Rogers    {
1299*8c61edb8SIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
1300*8c61edb8SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
1301*8c61edb8SIan Rogers        "MetricGroup": "Cor;Pipeline",
1302*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_execute_per_issue",
1303*8c61edb8SIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
1304*8c61edb8SIan Rogers    },
1305*8c61edb8SIan Rogers    {
1306*8c61edb8SIan Rogers        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
1307*8c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_thread_clks",
1308*8c61edb8SIan Rogers        "MetricGroup": "Ret;Summary",
1309*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_ipc"
1310*8c61edb8SIan Rogers    },
1311*8c61edb8SIan Rogers    {
1312*8c61edb8SIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
1313*8c61edb8SIan Rogers        "MetricExpr": "4 * tma_info_core_core_clks",
1314*8c61edb8SIan Rogers        "MetricGroup": "TmaL1;tma_L1_group",
1315*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_slots"
13166635df2fSIan Rogers    },
13176635df2fSIan Rogers    {
13186635df2fSIan Rogers        "BriefDescription": "Uops Per Instruction",
13196635df2fSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / INST_RETIRED.ANY",
13206635df2fSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
1321*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_uoppi",
1322*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_thread_uoppi > 1.05"
13236635df2fSIan Rogers    },
13246635df2fSIan Rogers    {
13256635df2fSIan Rogers        "BriefDescription": "Instruction per taken branch",
13266635df2fSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / BR_INST_RETIRED.NEAR_TAKEN",
13276635df2fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
1328*8c61edb8SIan Rogers        "MetricName": "tma_info_thread_uptb",
1329*8c61edb8SIan Rogers        "MetricThreshold": "tma_info_thread_uptb < 6"
13306635df2fSIan Rogers    },
13316635df2fSIan Rogers    {
13326635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
1333*8c61edb8SIan Rogers        "MetricExpr": "ICACHE_64B.IFTAG_STALL / tma_info_thread_clks",
13346635df2fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_L3_group;tma_fetch_latency_group",
13356635df2fSIan Rogers        "MetricName": "tma_itlb_misses",
13366635df2fSIan Rogers        "MetricThreshold": "tma_itlb_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
13376635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: FRONTEND_RETIRED.STLB_MISS_PS;FRONTEND_RETIRED.ITLB_MISS_PS",
13386635df2fSIan Rogers        "ScaleUnit": "100%"
13396635df2fSIan Rogers    },
13406635df2fSIan Rogers    {
13416635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
1342*8c61edb8SIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / tma_info_thread_clks, 0)",
13436635df2fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_issueL1;tma_issueMC;tma_memory_bound_group",
13446635df2fSIan Rogers        "MetricName": "tma_l1_bound",
13456635df2fSIan Rogers        "MetricThreshold": "tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
13466635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_RETIRED.L1_HIT_PS;MEM_LOAD_RETIRED.FB_HIT_PS. Related metrics: tma_clears_resteers, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches, tma_ports_utilized_1",
13476635df2fSIan Rogers        "ScaleUnit": "100%"
13486635df2fSIan Rogers    },
13496635df2fSIan Rogers    {
13506635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
13516635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1352*8c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) / (MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@) * ((CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks)",
13536635df2fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
13546635df2fSIan Rogers        "MetricName": "tma_l2_bound",
13556635df2fSIan Rogers        "MetricThreshold": "tma_l2_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
13566635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L2_HIT_PS",
13576635df2fSIan Rogers        "ScaleUnit": "100%"
13586635df2fSIan Rogers    },
13596635df2fSIan Rogers    {
13606635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
1361*8c61edb8SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L2_MISS - CYCLE_ACTIVITY.STALLS_L3_MISS) / tma_info_thread_clks",
13626635df2fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
13636635df2fSIan Rogers        "MetricName": "tma_l3_bound",
13646635df2fSIan Rogers        "MetricThreshold": "tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
13656635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS",
13666635df2fSIan Rogers        "ScaleUnit": "100%"
13676635df2fSIan Rogers    },
13686635df2fSIan Rogers    {
13696635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
1370*8c61edb8SIan Rogers        "MetricExpr": "17 * tma_info_system_average_frequency * MEM_LOAD_RETIRED.L3_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
13716635df2fSIan Rogers        "MetricGroup": "MemoryLat;TopdownL4;tma_L4_group;tma_issueLat;tma_l3_bound_group",
13726635df2fSIan Rogers        "MetricName": "tma_l3_hit_latency",
13736635df2fSIan Rogers        "MetricThreshold": "tma_l3_hit_latency > 0.1 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1374*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS. Related metrics: tma_info_bottleneck_memory_latency, tma_mem_latency",
13756635df2fSIan Rogers        "ScaleUnit": "100%"
13766635df2fSIan Rogers    },
13776635df2fSIan Rogers    {
13786635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
1379*8c61edb8SIan Rogers        "MetricExpr": "ILD_STALL.LCP / tma_info_thread_clks",
13806635df2fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
13816635df2fSIan Rogers        "MetricName": "tma_lcp",
13826635df2fSIan Rogers        "MetricThreshold": "tma_lcp > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1383*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb",
13846635df2fSIan Rogers        "ScaleUnit": "100%"
13856635df2fSIan Rogers    },
13866635df2fSIan Rogers    {
13876635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
13886635df2fSIan Rogers        "MetricExpr": "tma_retiring - tma_heavy_operations",
13896635df2fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
13906635df2fSIan Rogers        "MetricName": "tma_light_operations",
13916635df2fSIan Rogers        "MetricThreshold": "tma_light_operations > 0.6",
1392ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
13936635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UopPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
13946635df2fSIan Rogers        "ScaleUnit": "100%"
13956635df2fSIan Rogers    },
13966635df2fSIan Rogers    {
13976635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations",
1398*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_2 + UOPS_DISPATCHED_PORT.PORT_3 + UOPS_DISPATCHED_PORT.PORT_7 - UOPS_DISPATCHED_PORT.PORT_4) / (2 * tma_info_core_core_clks)",
13996635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
14006635df2fSIan Rogers        "MetricName": "tma_load_op_utilization",
14016635df2fSIan Rogers        "MetricThreshold": "tma_load_op_utilization > 0.6",
14026635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations. Sample with: UOPS_DISPATCHED.PORT_2_3",
14036635df2fSIan Rogers        "ScaleUnit": "100%"
14046635df2fSIan Rogers    },
14056635df2fSIan Rogers    {
14066635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the (first level) DTLB was missed by load accesses, that later on hit in second-level TLB (STLB)",
14076635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
14086635df2fSIan Rogers        "MetricExpr": "tma_dtlb_load - tma_load_stlb_miss",
14096635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
14106635df2fSIan Rogers        "MetricName": "tma_load_stlb_hit",
14116635df2fSIan Rogers        "MetricThreshold": "tma_load_stlb_hit > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
14126635df2fSIan Rogers        "ScaleUnit": "100%"
14136635df2fSIan Rogers    },
14146635df2fSIan Rogers    {
14156635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the Second-level TLB (STLB) was missed by load accesses, performing a hardware page walk",
1416*8c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_ACTIVE / tma_info_thread_clks",
14176635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
14186635df2fSIan Rogers        "MetricName": "tma_load_stlb_miss",
14196635df2fSIan Rogers        "MetricThreshold": "tma_load_stlb_miss > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
14206635df2fSIan Rogers        "ScaleUnit": "100%"
14216635df2fSIan Rogers    },
14226635df2fSIan Rogers    {
14236635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory",
1424*8c61edb8SIan Rogers        "MetricExpr": "59.5 * tma_info_system_average_frequency * MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
14256635df2fSIan Rogers        "MetricGroup": "Server;TopdownL5;tma_L5_group;tma_mem_latency_group",
14266635df2fSIan Rogers        "MetricName": "tma_local_dram",
14276635df2fSIan Rogers        "MetricThreshold": "tma_local_dram > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
14286635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory. Caching will improve the latency and increase performance. Sample with: MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM_PS",
14296635df2fSIan Rogers        "ScaleUnit": "100%"
14306635df2fSIan Rogers    },
14316635df2fSIan Rogers    {
14326635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
1433*8c61edb8SIan Rogers        "MetricExpr": "(12 * max(0, MEM_INST_RETIRED.LOCK_LOADS - L2_RQSTS.ALL_RFO) + MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES * (11 * L2_RQSTS.RFO_HIT + min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO))) / tma_info_thread_clks",
14346635df2fSIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_l1_bound_group",
14356635df2fSIan Rogers        "MetricName": "tma_lock_latency",
14366635df2fSIan Rogers        "MetricThreshold": "tma_lock_latency > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
14376635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_INST_RETIRED.LOCK_LOADS_PS. Related metrics: tma_store_latency",
14386635df2fSIan Rogers        "ScaleUnit": "100%"
14396635df2fSIan Rogers    },
14406635df2fSIan Rogers    {
14416635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
14426635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
14436635df2fSIan Rogers        "MetricExpr": "tma_bad_speculation - tma_branch_mispredicts",
14446635df2fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueMC;tma_issueSyncxn",
14456635df2fSIan Rogers        "MetricName": "tma_machine_clears",
14466635df2fSIan Rogers        "MetricThreshold": "tma_machine_clears > 0.1 & tma_bad_speculation > 0.15",
1447ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
14486635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT. Related metrics: tma_clears_resteers, tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_l1_bound, tma_microcode_sequencer, tma_ms_switches, tma_remote_cache",
14496635df2fSIan Rogers        "ScaleUnit": "100%"
14506635df2fSIan Rogers    },
14516635df2fSIan Rogers    {
14526635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
1453*8c61edb8SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / tma_info_thread_clks",
14546635df2fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueBW",
14556635df2fSIan Rogers        "MetricName": "tma_mem_bandwidth",
14566635df2fSIan Rogers        "MetricThreshold": "tma_mem_bandwidth > 0.2 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1457*8c61edb8SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that). Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_sq_full",
14586635df2fSIan Rogers        "ScaleUnit": "100%"
14596635df2fSIan Rogers    },
14606635df2fSIan Rogers    {
14616635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
1462*8c61edb8SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / tma_info_thread_clks - tma_mem_bandwidth",
14636635df2fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueLat",
14646635df2fSIan Rogers        "MetricName": "tma_mem_latency",
14656635df2fSIan Rogers        "MetricThreshold": "tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1466*8c61edb8SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that). Related metrics: tma_info_bottleneck_memory_latency, tma_l3_hit_latency",
14676635df2fSIan Rogers        "ScaleUnit": "100%"
14686635df2fSIan Rogers    },
14696635df2fSIan Rogers    {
14706635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
14716635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
14726635df2fSIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_MEM_ANY + EXE_ACTIVITY.BOUND_ON_STORES) / (CYCLE_ACTIVITY.STALLS_TOTAL + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) + EXE_ACTIVITY.BOUND_ON_STORES) * tma_backend_bound",
14736635df2fSIan Rogers        "MetricGroup": "Backend;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
14746635df2fSIan Rogers        "MetricName": "tma_memory_bound",
14756635df2fSIan Rogers        "MetricThreshold": "tma_memory_bound > 0.2 & tma_backend_bound > 0.2",
1476ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
14776635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
14786635df2fSIan Rogers        "ScaleUnit": "100%"
14796635df2fSIan Rogers    },
14806635df2fSIan Rogers    {
14816635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring memory operations -- uops for memory load or store accesses.",
14826635df2fSIan Rogers        "MetricExpr": "tma_light_operations * MEM_INST_RETIRED.ANY / INST_RETIRED.ANY",
14836635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
14846635df2fSIan Rogers        "MetricName": "tma_memory_operations",
14856635df2fSIan Rogers        "MetricThreshold": "tma_memory_operations > 0.1 & tma_light_operations > 0.6",
14866635df2fSIan Rogers        "ScaleUnit": "100%"
14876635df2fSIan Rogers    },
14886635df2fSIan Rogers    {
14896635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
1490*8c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * IDQ.MS_UOPS / tma_info_thread_slots",
14916635df2fSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueMC;tma_issueMS",
14926635df2fSIan Rogers        "MetricName": "tma_microcode_sequencer",
14936635df2fSIan Rogers        "MetricThreshold": "tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1",
14946635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_ms_switches",
14956635df2fSIan Rogers        "ScaleUnit": "100%"
14966635df2fSIan Rogers    },
14976635df2fSIan Rogers    {
14986635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage",
1499*8c61edb8SIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
15006635df2fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueBM",
15016635df2fSIan Rogers        "MetricName": "tma_mispredicts_resteers",
15026635df2fSIan Rogers        "MetricThreshold": "tma_mispredicts_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1503*8c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions",
15046635df2fSIan Rogers        "ScaleUnit": "100%"
15056635df2fSIan Rogers    },
15066635df2fSIan Rogers    {
15076635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
1508*8c61edb8SIan Rogers        "MetricExpr": "(IDQ.ALL_MITE_CYCLES_ANY_UOPS - IDQ.ALL_MITE_CYCLES_4_UOPS) / tma_info_core_core_clks / 2",
15096635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
15106635df2fSIan Rogers        "MetricName": "tma_mite",
1511*8c61edb8SIan Rogers        "MetricThreshold": "tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35)",
15126635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck. Sample with: FRONTEND_RETIRED.ANY_DSB_MISS",
15136635df2fSIan Rogers        "ScaleUnit": "100%"
15146635df2fSIan Rogers    },
15156635df2fSIan Rogers    {
15166635df2fSIan Rogers        "BriefDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued",
15176635df2fSIan Rogers        "MetricExpr": "UOPS_ISSUED.VECTOR_WIDTH_MISMATCH / UOPS_ISSUED.ANY",
15186635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_issueMV;tma_ports_utilized_0_group",
15196635df2fSIan Rogers        "MetricName": "tma_mixing_vectors",
15206635df2fSIan Rogers        "MetricThreshold": "tma_mixing_vectors > 0.05",
15216635df2fSIan Rogers        "PublicDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued. Usually a Mixing_Vectors over 5% is worth investigating. Read more in Appendix B1 of the Optimizations Guide for this topic. Related metrics: tma_ms_switches",
15226635df2fSIan Rogers        "ScaleUnit": "100%"
15236635df2fSIan Rogers    },
15246635df2fSIan Rogers    {
15256635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
1526*8c61edb8SIan Rogers        "MetricExpr": "2 * IDQ.MS_SWITCHES / tma_info_thread_clks",
15276635df2fSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueMC;tma_issueMS;tma_issueMV;tma_issueSO",
15286635df2fSIan Rogers        "MetricName": "tma_ms_switches",
15296635df2fSIan Rogers        "MetricThreshold": "tma_ms_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
15306635df2fSIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_mixing_vectors, tma_serializing_operation",
15316635df2fSIan Rogers        "ScaleUnit": "100%"
15326635df2fSIan Rogers    },
15336635df2fSIan Rogers    {
15346635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions that were not fused",
15356635df2fSIan Rogers        "MetricExpr": "tma_light_operations * (BR_INST_RETIRED.ALL_BRANCHES - UOPS_RETIRED.MACRO_FUSED) / UOPS_RETIRED.RETIRE_SLOTS",
15366635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
15376635df2fSIan Rogers        "MetricName": "tma_non_fused_branches",
15386635df2fSIan Rogers        "MetricThreshold": "tma_non_fused_branches > 0.1 & tma_light_operations > 0.6",
15396635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions that were not fused. Non-conditional branches like direct JMP or CALL would count here. Can be used to examine fusible conditional jumps that were not fused.",
15406635df2fSIan Rogers        "ScaleUnit": "100%"
15416635df2fSIan Rogers    },
15426635df2fSIan Rogers    {
15436635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions",
15446635df2fSIan Rogers        "MetricExpr": "tma_light_operations * INST_RETIRED.NOP / UOPS_RETIRED.RETIRE_SLOTS",
15456635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
15466635df2fSIan Rogers        "MetricName": "tma_nop_instructions",
15476635df2fSIan Rogers        "MetricThreshold": "tma_nop_instructions > 0.1 & tma_light_operations > 0.6",
15486635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions. Compilers often use NOPs for certain address alignments - e.g. start address of a function or loop body. Sample with: INST_RETIRED.NOP",
15496635df2fSIan Rogers        "ScaleUnit": "100%"
15506635df2fSIan Rogers    },
15516635df2fSIan Rogers    {
15526635df2fSIan Rogers        "BriefDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes",
15536635df2fSIan Rogers        "MetricExpr": "max(0, tma_light_operations - (tma_fp_arith + tma_memory_operations + tma_fused_instructions + tma_non_fused_branches + tma_nop_instructions))",
15546635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
15556635df2fSIan Rogers        "MetricName": "tma_other_light_ops",
15566635df2fSIan Rogers        "MetricThreshold": "tma_other_light_ops > 0.3 & tma_light_operations > 0.6",
15576635df2fSIan Rogers        "PublicDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes. May undercount due to FMA double counting",
15586635df2fSIan Rogers        "ScaleUnit": "100%"
15596635df2fSIan Rogers    },
15606635df2fSIan Rogers    {
15616635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates (based on idle latencies) how often the CPU was stalled on accesses to external 3D-Xpoint (Crystal Ridge, a.k.a",
15626635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1563*8c61edb8SIan Rogers        "MetricExpr": "(((1 - ((19 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + 10 * (MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS))) / (19 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + 10 * (MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + (25 * (MEM_LOAD_RETIRED.LOCAL_PMM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) if #has_pmem > 0 else 0) + 33 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_PMM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) if #has_pmem > 0 else 0))) if #has_pmem > 0 else 0)) * (CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound) if 1e6 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_PMM + MEM_LOAD_RETIRED.LOCAL_PMM) > MEM_LOAD_RETIRED.L1_MISS else 0) if #has_pmem > 0 else 0)",
15646635df2fSIan Rogers        "MetricGroup": "MemoryBound;Server;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
15656635df2fSIan Rogers        "MetricName": "tma_pmm_bound",
15666635df2fSIan Rogers        "MetricThreshold": "tma_pmm_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
15676635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates (based on idle latencies) how often the CPU was stalled on accesses to external 3D-Xpoint (Crystal Ridge, a.k.a. IXP) memory by loads, PMM stands for Persistent Memory Module.",
15686635df2fSIan Rogers        "ScaleUnit": "100%"
15696635df2fSIan Rogers    },
15706635df2fSIan Rogers    {
15716635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch)",
1572*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_0 / tma_info_core_core_clks",
15736635df2fSIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
15746635df2fSIan Rogers        "MetricName": "tma_port_0",
15756635df2fSIan Rogers        "MetricThreshold": "tma_port_0 > 0.6",
15766635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch). Sample with: UOPS_DISPATCHED_PORT.PORT_0. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
15776635df2fSIan Rogers        "ScaleUnit": "100%"
15786635df2fSIan Rogers    },
15796635df2fSIan Rogers    {
15806635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU)",
1581*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_1 / tma_info_core_core_clks",
15826635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
15836635df2fSIan Rogers        "MetricName": "tma_port_1",
15846635df2fSIan Rogers        "MetricThreshold": "tma_port_1 > 0.6",
15856635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_1. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_5, tma_port_6, tma_ports_utilized_2",
15866635df2fSIan Rogers        "ScaleUnit": "100%"
15876635df2fSIan Rogers    },
15886635df2fSIan Rogers    {
15896635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads)",
1590*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_2 / tma_info_core_core_clks",
15916635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
15926635df2fSIan Rogers        "MetricName": "tma_port_2",
15936635df2fSIan Rogers        "MetricThreshold": "tma_port_2 > 0.6",
15946635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_2",
15956635df2fSIan Rogers        "ScaleUnit": "100%"
15966635df2fSIan Rogers    },
15976635df2fSIan Rogers    {
15986635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads)",
1599*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_3 / tma_info_core_core_clks",
16006635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
16016635df2fSIan Rogers        "MetricName": "tma_port_3",
16026635df2fSIan Rogers        "MetricThreshold": "tma_port_3 > 0.6",
16036635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_3",
16046635df2fSIan Rogers        "ScaleUnit": "100%"
16056635df2fSIan Rogers    },
16066635df2fSIan Rogers    {
16076635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data)",
16086635df2fSIan Rogers        "MetricExpr": "tma_store_op_utilization",
16096635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_issueSpSt;tma_store_op_utilization_group",
16106635df2fSIan Rogers        "MetricName": "tma_port_4",
16116635df2fSIan Rogers        "MetricThreshold": "tma_port_4 > 0.6",
16126635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data). Sample with: UOPS_DISPATCHED_PORT.PORT_4. Related metrics: tma_split_stores",
16136635df2fSIan Rogers        "ScaleUnit": "100%"
16146635df2fSIan Rogers    },
16156635df2fSIan Rogers    {
16166635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU)",
1617*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_5 / tma_info_core_core_clks",
16186635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
16196635df2fSIan Rogers        "MetricName": "tma_port_5",
16206635df2fSIan Rogers        "MetricThreshold": "tma_port_5 > 0.6",
16216635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU). Sample with: UOPS_DISPATCHED.PORT_5. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_6, tma_ports_utilized_2",
16226635df2fSIan Rogers        "ScaleUnit": "100%"
16236635df2fSIan Rogers    },
16246635df2fSIan Rogers    {
16256635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU)",
1626*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_6 / tma_info_core_core_clks",
16276635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
16286635df2fSIan Rogers        "MetricName": "tma_port_6",
16296635df2fSIan Rogers        "MetricThreshold": "tma_port_6 > 0.6",
16306635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_6. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_ports_utilized_2",
16316635df2fSIan Rogers        "ScaleUnit": "100%"
16326635df2fSIan Rogers    },
16336635df2fSIan Rogers    {
16346635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address)",
1635*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_7 / tma_info_core_core_clks",
16366635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_store_op_utilization_group",
16376635df2fSIan Rogers        "MetricName": "tma_port_7",
16386635df2fSIan Rogers        "MetricThreshold": "tma_port_7 > 0.6",
16396635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address). Sample with: UOPS_DISPATCHED_PORT.PORT_7",
16406635df2fSIan Rogers        "ScaleUnit": "100%"
16416635df2fSIan Rogers    },
16426635df2fSIan Rogers    {
16436635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
1644*8c61edb8SIan Rogers        "MetricExpr": "((EXE_ACTIVITY.EXE_BOUND_0_PORTS + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL)) / tma_info_thread_clks if ARITH.DIVIDER_ACTIVE < CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY else (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_thread_clks)",
16456635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group",
16466635df2fSIan Rogers        "MetricName": "tma_ports_utilization",
16476635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
16486635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
16496635df2fSIan Rogers        "ScaleUnit": "100%"
16506635df2fSIan Rogers    },
16516635df2fSIan Rogers    {
16526635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1653*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_EXECUTED.CORE_CYCLES_NONE / 2 if #SMT_on else CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY) / tma_info_core_core_clks",
16546635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
16556635df2fSIan Rogers        "MetricName": "tma_ports_utilized_0",
16566635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
16576635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
16586635df2fSIan Rogers        "ScaleUnit": "100%"
16596635df2fSIan Rogers    },
16606635df2fSIan Rogers    {
16616635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1662*8c61edb8SIan Rogers        "MetricExpr": "((UOPS_EXECUTED.CORE_CYCLES_GE_1 - UOPS_EXECUTED.CORE_CYCLES_GE_2) / 2 if #SMT_on else EXE_ACTIVITY.1_PORTS_UTIL) / tma_info_core_core_clks",
16636635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issueL1;tma_ports_utilization_group",
16646635df2fSIan Rogers        "MetricName": "tma_ports_utilized_1",
16656635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_1 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
16666635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful. Related metrics: tma_l1_bound",
16676635df2fSIan Rogers        "ScaleUnit": "100%"
16686635df2fSIan Rogers    },
16696635df2fSIan Rogers    {
16706635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1671*8c61edb8SIan Rogers        "MetricExpr": "((UOPS_EXECUTED.CORE_CYCLES_GE_2 - UOPS_EXECUTED.CORE_CYCLES_GE_3) / 2 if #SMT_on else EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_core_core_clks",
16726635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issue2P;tma_ports_utilization_group",
16736635df2fSIan Rogers        "MetricName": "tma_ports_utilized_2",
16746635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_2 > 0.15 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
16756635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6",
16766635df2fSIan Rogers        "ScaleUnit": "100%"
16776635df2fSIan Rogers    },
16786635df2fSIan Rogers    {
16796635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).",
1680*8c61edb8SIan Rogers        "MetricExpr": "(UOPS_EXECUTED.CORE_CYCLES_GE_3 / 2 if #SMT_on else UOPS_EXECUTED.CORE_CYCLES_GE_3) / tma_info_core_core_clks",
16816635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
16826635df2fSIan Rogers        "MetricName": "tma_ports_utilized_3m",
16836635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_3m > 0.7 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
16846635df2fSIan Rogers        "ScaleUnit": "100%"
16856635df2fSIan Rogers    },
16866635df2fSIan Rogers    {
16876635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues",
16886635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1689*8c61edb8SIan Rogers        "MetricExpr": "(89.5 * tma_info_system_average_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM + 89.5 * tma_info_system_average_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
16906635df2fSIan Rogers        "MetricGroup": "Offcore;Server;Snoop;TopdownL5;tma_L5_group;tma_issueSyncxn;tma_mem_latency_group",
16916635df2fSIan Rogers        "MetricName": "tma_remote_cache",
16926635df2fSIan Rogers        "MetricThreshold": "tma_remote_cache > 0.05 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
16936635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM_PS;MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD_PS. Related metrics: tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_machine_clears",
16946635df2fSIan Rogers        "ScaleUnit": "100%"
16956635df2fSIan Rogers    },
16966635df2fSIan Rogers    {
16976635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory",
1698*8c61edb8SIan Rogers        "MetricExpr": "127 * tma_info_system_average_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
16996635df2fSIan Rogers        "MetricGroup": "Server;Snoop;TopdownL5;tma_L5_group;tma_mem_latency_group",
17006635df2fSIan Rogers        "MetricName": "tma_remote_dram",
17016635df2fSIan Rogers        "MetricThreshold": "tma_remote_dram > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
17026635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM_PS",
17036635df2fSIan Rogers        "ScaleUnit": "100%"
17046635df2fSIan Rogers    },
17056635df2fSIan Rogers    {
17066635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
1707*8c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / tma_info_thread_slots",
17086635df2fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
17096635df2fSIan Rogers        "MetricName": "tma_retiring",
17106635df2fSIan Rogers        "MetricThreshold": "tma_retiring > 0.7 | tma_heavy_operations > 0.1",
1711ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
17126635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided. Sample with: UOPS_RETIRED.RETIRE_SLOTS",
17136635df2fSIan Rogers        "ScaleUnit": "100%"
17146635df2fSIan Rogers    },
17156635df2fSIan Rogers    {
17166635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations",
1717*8c61edb8SIan Rogers        "MetricExpr": "PARTIAL_RAT_STALLS.SCOREBOARD / tma_info_thread_clks",
17186635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL5;tma_L5_group;tma_issueSO;tma_ports_utilized_0_group",
17196635df2fSIan Rogers        "MetricName": "tma_serializing_operation",
17206635df2fSIan Rogers        "MetricThreshold": "tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)))",
17216635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations. Instructions like CPUID; WRMSR or LFENCE serialize the out-of-order execution which may limit performance. Sample with: PARTIAL_RAT_STALLS.SCOREBOARD. Related metrics: tma_ms_switches",
17226635df2fSIan Rogers        "ScaleUnit": "100%"
17236635df2fSIan Rogers    },
17246635df2fSIan Rogers    {
17256635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions",
1726*8c61edb8SIan Rogers        "MetricExpr": "40 * ROB_MISC_EVENTS.PAUSE_INST / tma_info_thread_clks",
17276635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_serializing_operation_group",
17286635df2fSIan Rogers        "MetricName": "tma_slow_pause",
17296635df2fSIan Rogers        "MetricThreshold": "tma_slow_pause > 0.05 & (tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))))",
17306635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions. Sample with: MISC_RETIRED.PAUSE_INST",
17316635df2fSIan Rogers        "ScaleUnit": "100%"
17326635df2fSIan Rogers    },
17336635df2fSIan Rogers    {
17346635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
17356635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1736*8c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * LD_BLOCKS.NO_SR / tma_info_thread_clks",
17376635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
17386635df2fSIan Rogers        "MetricName": "tma_split_loads",
17396635df2fSIan Rogers        "MetricThreshold": "tma_split_loads > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
17406635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary. Sample with: MEM_INST_RETIRED.SPLIT_LOADS_PS",
17416635df2fSIan Rogers        "ScaleUnit": "100%"
17426635df2fSIan Rogers    },
17436635df2fSIan Rogers    {
17446635df2fSIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
1745*8c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.SPLIT_STORES / tma_info_core_core_clks",
17466635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_issueSpSt;tma_store_bound_group",
17476635df2fSIan Rogers        "MetricName": "tma_split_stores",
17486635df2fSIan Rogers        "MetricThreshold": "tma_split_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
17496635df2fSIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_INST_RETIRED.SPLIT_STORES_PS. Related metrics: tma_port_4",
17506635df2fSIan Rogers        "ScaleUnit": "100%"
17516635df2fSIan Rogers    },
17526635df2fSIan Rogers    {
17536635df2fSIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
1754*8c61edb8SIan Rogers        "MetricExpr": "(OFFCORE_REQUESTS_BUFFER.SQ_FULL / 2 if #SMT_on else OFFCORE_REQUESTS_BUFFER.SQ_FULL) / tma_info_core_core_clks",
17556635df2fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueBW;tma_l3_bound_group",
17566635df2fSIan Rogers        "MetricName": "tma_sq_full",
17576635df2fSIan Rogers        "MetricThreshold": "tma_sq_full > 0.3 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1758*8c61edb8SIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth",
17596635df2fSIan Rogers        "ScaleUnit": "100%"
17606635df2fSIan Rogers    },
17616635df2fSIan Rogers    {
17626635df2fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
1763*8c61edb8SIan Rogers        "MetricExpr": "EXE_ACTIVITY.BOUND_ON_STORES / tma_info_thread_clks",
17646635df2fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
17656635df2fSIan Rogers        "MetricName": "tma_store_bound",
17666635df2fSIan Rogers        "MetricThreshold": "tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
17676635df2fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_INST_RETIRED.ALL_STORES_PS",
17686635df2fSIan Rogers        "ScaleUnit": "100%"
17696635df2fSIan Rogers    },
17706635df2fSIan Rogers    {
17716635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
1772*8c61edb8SIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / tma_info_thread_clks",
17736635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
17746635df2fSIan Rogers        "MetricName": "tma_store_fwd_blk",
17756635df2fSIan Rogers        "MetricThreshold": "tma_store_fwd_blk > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
17766635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
17776635df2fSIan Rogers        "ScaleUnit": "100%"
17786635df2fSIan Rogers    },
17796635df2fSIan Rogers    {
17806635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
17816635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1782*8c61edb8SIan Rogers        "MetricExpr": "(L2_RQSTS.RFO_HIT * 11 * (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) + (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / tma_info_thread_clks",
17836635df2fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_issueSL;tma_store_bound_group",
17846635df2fSIan Rogers        "MetricName": "tma_store_latency",
17856635df2fSIan Rogers        "MetricThreshold": "tma_store_latency > 0.1 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
17866635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full). Related metrics: tma_fb_full, tma_lock_latency",
17876635df2fSIan Rogers        "ScaleUnit": "100%"
17886635df2fSIan Rogers    },
17896635df2fSIan Rogers    {
17906635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
1791*8c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_4 / tma_info_core_core_clks",
17926635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
17936635df2fSIan Rogers        "MetricName": "tma_store_op_utilization",
17946635df2fSIan Rogers        "MetricThreshold": "tma_store_op_utilization > 0.6",
17956635df2fSIan Rogers        "ScaleUnit": "100%"
17966635df2fSIan Rogers    },
17976635df2fSIan Rogers    {
17986635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the TLB was missed by store accesses, hitting in the second-level TLB (STLB)",
17996635df2fSIan Rogers        "MetricExpr": "tma_dtlb_store - tma_store_stlb_miss",
18006635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
18016635df2fSIan Rogers        "MetricName": "tma_store_stlb_hit",
18026635df2fSIan Rogers        "MetricThreshold": "tma_store_stlb_hit > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
18036635df2fSIan Rogers        "ScaleUnit": "100%"
18046635df2fSIan Rogers    },
18056635df2fSIan Rogers    {
18066635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the STLB was missed by store accesses, performing a hardware page walk",
1807*8c61edb8SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_ACTIVE / tma_info_core_core_clks",
18086635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
18096635df2fSIan Rogers        "MetricName": "tma_store_stlb_miss",
18106635df2fSIan Rogers        "MetricThreshold": "tma_store_stlb_miss > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
18116635df2fSIan Rogers        "ScaleUnit": "100%"
18126635df2fSIan Rogers    },
18136635df2fSIan Rogers    {
18146635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
1815*8c61edb8SIan Rogers        "MetricExpr": "9 * BACLEARS.ANY / tma_info_thread_clks",
18166635df2fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;TopdownL4;tma_L4_group;tma_branch_resteers_group",
18176635df2fSIan Rogers        "MetricName": "tma_unknown_branches",
18186635df2fSIan Rogers        "MetricThreshold": "tma_unknown_branches > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
18196635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (e.g. first time the branch is fetched or hitting BTB capacity limit). Sample with: BACLEARS.ANY",
18206635df2fSIan Rogers        "ScaleUnit": "100%"
18216635df2fSIan Rogers    },
18226635df2fSIan Rogers    {
18236635df2fSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
18246635df2fSIan Rogers        "MetricExpr": "tma_retiring * UOPS_EXECUTED.X87 / UOPS_EXECUTED.THREAD",
18256635df2fSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_L4_group;tma_fp_arith_group",
18266635df2fSIan Rogers        "MetricName": "tma_x87_use",
18276635df2fSIan Rogers        "MetricThreshold": "tma_x87_use > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
18286635df2fSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
18296635df2fSIan Rogers        "ScaleUnit": "100%"
18306635df2fSIan Rogers    },
18316635df2fSIan Rogers    {
18326635df2fSIan Rogers        "BriefDescription": "Percentage of cycles in aborted transactions.",
18336635df2fSIan Rogers        "MetricExpr": "max(cpu@cycles\\-t@ - cpu@cycles\\-ct@, 0) / cycles",
18346635df2fSIan Rogers        "MetricGroup": "transaction",
18356635df2fSIan Rogers        "MetricName": "tsx_aborted_cycles",
18366635df2fSIan Rogers        "ScaleUnit": "100%"
18376635df2fSIan Rogers    },
18386635df2fSIan Rogers    {
18396635df2fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of elisions.",
18406635df2fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cpu@el\\-start@",
18416635df2fSIan Rogers        "MetricGroup": "transaction",
18426635df2fSIan Rogers        "MetricName": "tsx_cycles_per_elision",
18436635df2fSIan Rogers        "ScaleUnit": "1cycles / elision"
18446635df2fSIan Rogers    },
18456635df2fSIan Rogers    {
18466635df2fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of transactions.",
18476635df2fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cpu@tx\\-start@",
18486635df2fSIan Rogers        "MetricGroup": "transaction",
18496635df2fSIan Rogers        "MetricName": "tsx_cycles_per_transaction",
18506635df2fSIan Rogers        "ScaleUnit": "1cycles / transaction"
18516635df2fSIan Rogers    },
18526635df2fSIan Rogers    {
18536635df2fSIan Rogers        "BriefDescription": "Percentage of cycles within a transaction region.",
18546635df2fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cycles",
18556635df2fSIan Rogers        "MetricGroup": "transaction",
18566635df2fSIan Rogers        "MetricName": "tsx_transactional_cycles",
18576635df2fSIan Rogers        "ScaleUnit": "100%"
1858*8c61edb8SIan Rogers    },
1859*8c61edb8SIan Rogers    {
1860*8c61edb8SIan Rogers        "BriefDescription": "Uncore operating frequency in GHz",
1861*8c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages) / 1e9 / duration_time",
1862*8c61edb8SIan Rogers        "MetricName": "uncore_frequency",
1863*8c61edb8SIan Rogers        "ScaleUnit": "1GHz"
1864*8c61edb8SIan Rogers    },
1865*8c61edb8SIan Rogers    {
1866*8c61edb8SIan Rogers        "BriefDescription": "Intel(R) Ultra Path Interconnect (UPI) data transmit bandwidth (MB/sec)",
1867*8c61edb8SIan Rogers        "MetricExpr": "UNC_UPI_TxL_FLITS.ALL_DATA * 7.111111111111111 / 1e6 / duration_time",
1868*8c61edb8SIan Rogers        "MetricName": "upi_data_transmit_bw",
1869*8c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
1870ecd94f1bSKan Liang    }
1871ecd94f1bSKan Liang]
1872