1.. SPDX-License-Identifier: GFDL-1.1-no-invariants-or-later
2
3.. _V4L2-SDR-FMT-PCU16BE:
4
5******************************
6V4L2_SDR_FMT_PCU16BE ('PC16')
7******************************
8
9Planar complex unsigned 16-bit big endian IQ sample
10
11Description
12===========
13
14This format contains a sequence of complex number samples. Each complex
15number consist of two parts called In-phase and Quadrature (IQ). Both I
16and Q are represented as a 16 bit unsigned big endian number stored in
1732 bit space. The remaining unused bits within the 32 bit space will be
18padded with 0. I value starts first and Q value starts at an offset
19equalling half of the buffer size (i.e.) offset = buffersize/2. Out of
20the 16 bits, bit 15:2 (14 bit) is data and bit 1:0 (2 bit) can be any
21value.
22
23**Byte Order.**
24Each cell is one byte.
25
26.. flat-table::
27    :header-rows:  1
28    :stub-columns: 0
29
30    * -  Offset:
31      -  Byte B0
32      -  Byte B1
33      -  Byte B2
34      -  Byte B3
35    * -  start + 0:
36      -  I'\ :sub:`0[13:6]`
37      -  I'\ :sub:`0[5:0]; B1[1:0]=pad`
38      -  pad
39      -  pad
40    * -  start + 4:
41      -  I'\ :sub:`1[13:6]`
42      -  I'\ :sub:`1[5:0]; B1[1:0]=pad`
43      -  pad
44      -  pad
45    * -  ...
46    * - start + offset:
47      -  Q'\ :sub:`0[13:6]`
48      -  Q'\ :sub:`0[5:0]; B1[1:0]=pad`
49      -  pad
50      -  pad
51    * - start + offset + 4:
52      -  Q'\ :sub:`1[13:6]`
53      -  Q'\ :sub:`1[5:0]; B1[1:0]=pad`
54      -  pad
55      -  pad
56