1b9efd75bSJin Yao[
2b9efd75bSJin Yao    {
3de44486fSIan Rogers        "BriefDescription": "C10 residency percent per package",
4de44486fSIan Rogers        "MetricExpr": "cstate_pkg@c10\\-residency@ / TSC",
5b9efd75bSJin Yao        "MetricGroup": "Power",
6de44486fSIan Rogers        "MetricName": "C10_Pkg_Residency",
769f685e0SIan Rogers        "ScaleUnit": "100%"
8b9efd75bSJin Yao    },
9b9efd75bSJin Yao    {
105e1dd4f2SIan Rogers        "BriefDescription": "C2 residency percent per package",
1169f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c2\\-residency@ / TSC",
125e1dd4f2SIan Rogers        "MetricGroup": "Power",
1369f685e0SIan Rogers        "MetricName": "C2_Pkg_Residency",
1469f685e0SIan Rogers        "ScaleUnit": "100%"
155e1dd4f2SIan Rogers    },
165e1dd4f2SIan Rogers    {
175e1dd4f2SIan Rogers        "BriefDescription": "C3 residency percent per package",
1869f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c3\\-residency@ / TSC",
195e1dd4f2SIan Rogers        "MetricGroup": "Power",
2069f685e0SIan Rogers        "MetricName": "C3_Pkg_Residency",
2169f685e0SIan Rogers        "ScaleUnit": "100%"
225e1dd4f2SIan Rogers    },
235e1dd4f2SIan Rogers    {
24de44486fSIan Rogers        "BriefDescription": "C6 residency percent per core",
25de44486fSIan Rogers        "MetricExpr": "cstate_core@c6\\-residency@ / TSC",
26de44486fSIan Rogers        "MetricGroup": "Power",
27de44486fSIan Rogers        "MetricName": "C6_Core_Residency",
28de44486fSIan Rogers        "ScaleUnit": "100%"
29de44486fSIan Rogers    },
30de44486fSIan Rogers    {
31b9efd75bSJin Yao        "BriefDescription": "C6 residency percent per package",
3269f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c6\\-residency@ / TSC",
33b9efd75bSJin Yao        "MetricGroup": "Power",
3469f685e0SIan Rogers        "MetricName": "C6_Pkg_Residency",
3569f685e0SIan Rogers        "ScaleUnit": "100%"
36b9efd75bSJin Yao    },
37b9efd75bSJin Yao    {
38de44486fSIan Rogers        "BriefDescription": "C7 residency percent per core",
39de44486fSIan Rogers        "MetricExpr": "cstate_core@c7\\-residency@ / TSC",
40de44486fSIan Rogers        "MetricGroup": "Power",
41de44486fSIan Rogers        "MetricName": "C7_Core_Residency",
42de44486fSIan Rogers        "ScaleUnit": "100%"
43de44486fSIan Rogers    },
44de44486fSIan Rogers    {
45b9efd75bSJin Yao        "BriefDescription": "C7 residency percent per package",
4669f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c7\\-residency@ / TSC",
47b9efd75bSJin Yao        "MetricGroup": "Power",
4869f685e0SIan Rogers        "MetricName": "C7_Pkg_Residency",
4969f685e0SIan Rogers        "ScaleUnit": "100%"
505e1dd4f2SIan Rogers    },
515e1dd4f2SIan Rogers    {
525e1dd4f2SIan Rogers        "BriefDescription": "C8 residency percent per package",
5369f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c8\\-residency@ / TSC",
545e1dd4f2SIan Rogers        "MetricGroup": "Power",
5569f685e0SIan Rogers        "MetricName": "C8_Pkg_Residency",
5669f685e0SIan Rogers        "ScaleUnit": "100%"
575e1dd4f2SIan Rogers    },
585e1dd4f2SIan Rogers    {
595e1dd4f2SIan Rogers        "BriefDescription": "C9 residency percent per package",
6069f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c9\\-residency@ / TSC",
615e1dd4f2SIan Rogers        "MetricGroup": "Power",
6269f685e0SIan Rogers        "MetricName": "C9_Pkg_Residency",
6369f685e0SIan Rogers        "ScaleUnit": "100%"
645e1dd4f2SIan Rogers    },
655e1dd4f2SIan Rogers    {
66de44486fSIan Rogers        "BriefDescription": "Percentage of cycles spent in System Management Interrupts.",
67de44486fSIan Rogers        "MetricExpr": "((msr@aperf@ - cycles) / msr@aperf@ if msr@smi@ > 0 else 0)",
68de44486fSIan Rogers        "MetricGroup": "smi",
69de44486fSIan Rogers        "MetricName": "smi_cycles",
70de44486fSIan Rogers        "MetricThreshold": "smi_cycles > 0.1",
71de44486fSIan Rogers        "ScaleUnit": "100%"
72de44486fSIan Rogers    },
73de44486fSIan Rogers    {
74de44486fSIan Rogers        "BriefDescription": "Number of SMI interrupts.",
75de44486fSIan Rogers        "MetricExpr": "msr@smi@",
76de44486fSIan Rogers        "MetricGroup": "smi",
77de44486fSIan Rogers        "MetricName": "smi_num",
78de44486fSIan Rogers        "ScaleUnit": "1SMI#"
79de44486fSIan Rogers    },
80de44486fSIan Rogers    {
81de44486fSIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
82de44486fSIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / tma_info_clks",
83de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
84de44486fSIan Rogers        "MetricName": "tma_4k_aliasing",
85de44486fSIan Rogers        "MetricThreshold": "tma_4k_aliasing > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
86de44486fSIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
87de44486fSIan Rogers        "ScaleUnit": "100%"
88de44486fSIan Rogers    },
89de44486fSIan Rogers    {
90de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
91de44486fSIan Rogers        "MetricExpr": "(UOPS_DISPATCHED.PORT_0 + UOPS_DISPATCHED.PORT_1 + UOPS_DISPATCHED.PORT_5 + UOPS_DISPATCHED.PORT_6) / (4 * tma_info_core_clks)",
92de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
93de44486fSIan Rogers        "MetricName": "tma_alu_op_utilization",
94de44486fSIan Rogers        "MetricThreshold": "tma_alu_op_utilization > 0.6",
95de44486fSIan Rogers        "ScaleUnit": "100%"
96de44486fSIan Rogers    },
97de44486fSIan Rogers    {
98de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
99de44486fSIan Rogers        "MetricExpr": "100 * ASSISTS.ANY / tma_info_slots",
100de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
101de44486fSIan Rogers        "MetricName": "tma_assists",
102de44486fSIan Rogers        "MetricThreshold": "tma_assists > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
103de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: ASSISTS.ANY",
104de44486fSIan Rogers        "ScaleUnit": "100%"
105de44486fSIan Rogers    },
106de44486fSIan Rogers    {
107de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
108de44486fSIan Rogers        "MetricExpr": "topdown\\-be\\-bound / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) + 5 * cpu@INT_MISC.RECOVERY_CYCLES\\,cmask\\=1\\,edge@ / tma_info_slots",
109de44486fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
110de44486fSIan Rogers        "MetricName": "tma_backend_bound",
111de44486fSIan Rogers        "MetricThreshold": "tma_backend_bound > 0.2",
112*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
113de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound. Sample with: TOPDOWN.BACKEND_BOUND_SLOTS",
114de44486fSIan Rogers        "ScaleUnit": "100%"
115de44486fSIan Rogers    },
116de44486fSIan Rogers    {
117de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
118de44486fSIan Rogers        "MetricExpr": "max(1 - (tma_frontend_bound + tma_backend_bound + tma_retiring), 0)",
119de44486fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
120de44486fSIan Rogers        "MetricName": "tma_bad_speculation",
121de44486fSIan Rogers        "MetricThreshold": "tma_bad_speculation > 0.15",
122*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
123de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
124de44486fSIan Rogers        "ScaleUnit": "100%"
125de44486fSIan Rogers    },
126de44486fSIan Rogers    {
127de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions.",
128de44486fSIan Rogers        "MetricExpr": "tma_light_operations * BR_INST_RETIRED.ALL_BRANCHES / (tma_retiring * tma_info_slots)",
129de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
130de44486fSIan Rogers        "MetricName": "tma_branch_instructions",
131de44486fSIan Rogers        "MetricThreshold": "tma_branch_instructions > 0.1 & tma_light_operations > 0.6",
132de44486fSIan Rogers        "ScaleUnit": "100%"
133de44486fSIan Rogers    },
134de44486fSIan Rogers    {
135de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
136de44486fSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * tma_bad_speculation",
137de44486fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueBM",
138de44486fSIan Rogers        "MetricName": "tma_branch_mispredicts",
139de44486fSIan Rogers        "MetricThreshold": "tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15",
140*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
141de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES. Related metrics: tma_info_branch_misprediction_cost, tma_info_mispredictions, tma_mispredicts_resteers",
142de44486fSIan Rogers        "ScaleUnit": "100%"
143de44486fSIan Rogers    },
144de44486fSIan Rogers    {
145de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
146de44486fSIan Rogers        "MetricExpr": "INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_clks + tma_unknown_branches",
147de44486fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group",
148de44486fSIan Rogers        "MetricName": "tma_branch_resteers",
149de44486fSIan Rogers        "MetricThreshold": "tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
150de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
151de44486fSIan Rogers        "ScaleUnit": "100%"
152de44486fSIan Rogers    },
153de44486fSIan Rogers    {
154de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
155de44486fSIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
156de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
157de44486fSIan Rogers        "MetricName": "tma_cisc",
158de44486fSIan Rogers        "MetricThreshold": "tma_cisc > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
159de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
160de44486fSIan Rogers        "ScaleUnit": "100%"
161de44486fSIan Rogers    },
162de44486fSIan Rogers    {
163de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears",
164de44486fSIan Rogers        "MetricExpr": "(1 - BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_clks",
165de44486fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueMC",
166de44486fSIan Rogers        "MetricName": "tma_clears_resteers",
167de44486fSIan Rogers        "MetricThreshold": "tma_clears_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
168de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches",
169de44486fSIan Rogers        "ScaleUnit": "100%"
170de44486fSIan Rogers    },
171de44486fSIan Rogers    {
172de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
173de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
174de44486fSIan Rogers        "MetricExpr": "(49 * tma_info_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD * (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM / (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM + OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD))) + 48 * tma_info_average_frequency * MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_clks",
175de44486fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
176de44486fSIan Rogers        "MetricName": "tma_contested_accesses",
177de44486fSIan Rogers        "MetricThreshold": "tma_contested_accesses > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
178de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS. Related metrics: tma_data_sharing, tma_false_sharing, tma_machine_clears, tma_remote_cache",
179de44486fSIan Rogers        "ScaleUnit": "100%"
180de44486fSIan Rogers    },
181de44486fSIan Rogers    {
182de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
183de44486fSIan Rogers        "MetricExpr": "max(0, tma_backend_bound - tma_memory_bound)",
184de44486fSIan Rogers        "MetricGroup": "Backend;Compute;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
185de44486fSIan Rogers        "MetricName": "tma_core_bound",
186de44486fSIan Rogers        "MetricThreshold": "tma_core_bound > 0.1 & tma_backend_bound > 0.2",
187*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
188de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
189de44486fSIan Rogers        "ScaleUnit": "100%"
190de44486fSIan Rogers    },
191de44486fSIan Rogers    {
192de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
193de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
194de44486fSIan Rogers        "MetricExpr": "48 * tma_info_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_NO_FWD + MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD * (1 - OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM / (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM + OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD))) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_clks",
195de44486fSIan Rogers        "MetricGroup": "Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
196de44486fSIan Rogers        "MetricName": "tma_data_sharing",
197de44486fSIan Rogers        "MetricThreshold": "tma_data_sharing > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
198de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_NO_FWD. Related metrics: tma_contested_accesses, tma_false_sharing, tma_machine_clears, tma_remote_cache",
199de44486fSIan Rogers        "ScaleUnit": "100%"
200de44486fSIan Rogers    },
201de44486fSIan Rogers    {
202de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder",
203de44486fSIan Rogers        "MetricExpr": "(cpu@INST_DECODED.DECODERS\\,cmask\\=1@ - cpu@INST_DECODED.DECODERS\\,cmask\\=2@) / tma_info_core_clks / 2",
204de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_issueD0;tma_mite_group",
205de44486fSIan Rogers        "MetricName": "tma_decoder0_alone",
206de44486fSIan Rogers        "MetricThreshold": "tma_decoder0_alone > 0.1 & (tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35))",
207de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder. Related metrics: tma_few_uops_instructions",
208de44486fSIan Rogers        "ScaleUnit": "100%"
209de44486fSIan Rogers    },
210de44486fSIan Rogers    {
211de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
212de44486fSIan Rogers        "MetricExpr": "ARITH.DIVIDER_ACTIVE / tma_info_clks",
213de44486fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_core_bound_group",
214de44486fSIan Rogers        "MetricName": "tma_divider",
215de44486fSIan Rogers        "MetricThreshold": "tma_divider > 0.2 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
216de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_ACTIVE",
217de44486fSIan Rogers        "ScaleUnit": "100%"
218de44486fSIan Rogers    },
219de44486fSIan Rogers    {
220de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
221de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
222de44486fSIan Rogers        "MetricExpr": "CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_clks - tma_l2_bound",
223de44486fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
224de44486fSIan Rogers        "MetricName": "tma_dram_bound",
225de44486fSIan Rogers        "MetricThreshold": "tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
226de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_MISS_PS",
227de44486fSIan Rogers        "ScaleUnit": "100%"
228de44486fSIan Rogers    },
229de44486fSIan Rogers    {
230de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
231de44486fSIan Rogers        "MetricExpr": "(IDQ.DSB_CYCLES_ANY - IDQ.DSB_CYCLES_OK) / tma_info_core_clks / 2",
232de44486fSIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
233de44486fSIan Rogers        "MetricName": "tma_dsb",
234de44486fSIan Rogers        "MetricThreshold": "tma_dsb > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35)",
235de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
236de44486fSIan Rogers        "ScaleUnit": "100%"
237de44486fSIan Rogers    },
238de44486fSIan Rogers    {
239de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
240de44486fSIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / tma_info_clks",
241de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
242de44486fSIan Rogers        "MetricName": "tma_dsb_switches",
243de44486fSIan Rogers        "MetricThreshold": "tma_dsb_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
244de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty. Sample with: FRONTEND_RETIRED.DSB_MISS_PS. Related metrics: tma_fetch_bandwidth, tma_info_dsb_coverage, tma_info_dsb_misses, tma_info_iptb, tma_lcp",
245de44486fSIan Rogers        "ScaleUnit": "100%"
246de44486fSIan Rogers    },
247de44486fSIan Rogers    {
248de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
249de44486fSIan Rogers        "MetricExpr": "min(7 * cpu@DTLB_LOAD_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_LOAD_MISSES.WALK_ACTIVE, max(CYCLE_ACTIVITY.CYCLES_MEM_ANY - CYCLE_ACTIVITY.CYCLES_L1D_MISS, 0)) / tma_info_clks",
250de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_l1_bound_group",
251de44486fSIan Rogers        "MetricName": "tma_dtlb_load",
252de44486fSIan Rogers        "MetricThreshold": "tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
253de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_INST_RETIRED.STLB_MISS_LOADS_PS. Related metrics: tma_dtlb_store, tma_info_memory_data_tlbs",
254de44486fSIan Rogers        "ScaleUnit": "100%"
255de44486fSIan Rogers    },
256de44486fSIan Rogers    {
257de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
258de44486fSIan Rogers        "MetricExpr": "(7 * cpu@DTLB_STORE_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_STORE_MISSES.WALK_ACTIVE) / tma_info_core_clks",
259de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_store_bound_group",
260de44486fSIan Rogers        "MetricName": "tma_dtlb_store",
261de44486fSIan Rogers        "MetricThreshold": "tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
262de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_INST_RETIRED.STLB_MISS_STORES_PS. Related metrics: tma_dtlb_load, tma_info_memory_data_tlbs",
263de44486fSIan Rogers        "ScaleUnit": "100%"
264de44486fSIan Rogers    },
265de44486fSIan Rogers    {
266de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
267de44486fSIan Rogers        "MetricExpr": "54 * tma_info_average_frequency * OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM / tma_info_clks",
268de44486fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_store_bound_group",
269de44486fSIan Rogers        "MetricName": "tma_false_sharing",
270de44486fSIan Rogers        "MetricThreshold": "tma_false_sharing > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
271de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line. Sample with: OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM. Related metrics: tma_contested_accesses, tma_data_sharing, tma_machine_clears, tma_remote_cache",
272de44486fSIan Rogers        "ScaleUnit": "100%"
273de44486fSIan Rogers    },
274de44486fSIan Rogers    {
275de44486fSIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
276de44486fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.FB_FULL / tma_info_clks",
277de44486fSIan Rogers        "MetricGroup": "MemoryBW;TopdownL4;tma_L4_group;tma_issueBW;tma_issueSL;tma_issueSmSt;tma_l1_bound_group",
278de44486fSIan Rogers        "MetricName": "tma_fb_full",
279de44486fSIan Rogers        "MetricThreshold": "tma_fb_full > 0.3",
280de44486fSIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory). Related metrics: tma_info_dram_bw_use, tma_info_memory_bandwidth, tma_mem_bandwidth, tma_sq_full, tma_store_latency, tma_streaming_stores",
281de44486fSIan Rogers        "ScaleUnit": "100%"
282de44486fSIan Rogers    },
283de44486fSIan Rogers    {
284de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
285de44486fSIan Rogers        "MetricExpr": "max(0, tma_frontend_bound - tma_fetch_latency)",
286de44486fSIan Rogers        "MetricGroup": "FetchBW;Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group;tma_issueFB",
287de44486fSIan Rogers        "MetricName": "tma_fetch_bandwidth",
288de44486fSIan Rogers        "MetricThreshold": "tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35",
289*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
290de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend. Sample with: FRONTEND_RETIRED.LATENCY_GE_2_BUBBLES_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_2_PS. Related metrics: tma_dsb_switches, tma_info_dsb_coverage, tma_info_dsb_misses, tma_info_iptb, tma_lcp",
291de44486fSIan Rogers        "ScaleUnit": "100%"
292de44486fSIan Rogers    },
293de44486fSIan Rogers    {
294de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
295de44486fSIan Rogers        "MetricExpr": "(5 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE - INT_MISC.UOP_DROPPING) / tma_info_slots",
296de44486fSIan Rogers        "MetricGroup": "Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group",
297de44486fSIan Rogers        "MetricName": "tma_fetch_latency",
298de44486fSIan Rogers        "MetricThreshold": "tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15",
299*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
300de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: FRONTEND_RETIRED.LATENCY_GE_16_PS;FRONTEND_RETIRED.LATENCY_GE_8_PS",
301de44486fSIan Rogers        "ScaleUnit": "100%"
302de44486fSIan Rogers    },
303de44486fSIan Rogers    {
304de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops",
305de44486fSIan Rogers        "MetricExpr": "tma_heavy_operations - tma_microcode_sequencer",
306de44486fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueD0",
307de44486fSIan Rogers        "MetricName": "tma_few_uops_instructions",
308de44486fSIan Rogers        "MetricThreshold": "tma_few_uops_instructions > 0.05 & tma_heavy_operations > 0.1",
309de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops. This highly-correlates with the number of uops in such instructions. Related metrics: tma_decoder0_alone",
310de44486fSIan Rogers        "ScaleUnit": "100%"
311de44486fSIan Rogers    },
312de44486fSIan Rogers    {
313de44486fSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
314de44486fSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
315de44486fSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_L3_group;tma_light_operations_group",
316de44486fSIan Rogers        "MetricName": "tma_fp_arith",
317de44486fSIan Rogers        "MetricThreshold": "tma_fp_arith > 0.2 & tma_light_operations > 0.6",
318de44486fSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
319de44486fSIan Rogers        "ScaleUnit": "100%"
320de44486fSIan Rogers    },
321de44486fSIan Rogers    {
322de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
323de44486fSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ / (tma_retiring * tma_info_slots)",
324de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
325de44486fSIan Rogers        "MetricName": "tma_fp_scalar",
326de44486fSIan Rogers        "MetricThreshold": "tma_fp_scalar > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
327de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting. Related metrics: tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
328de44486fSIan Rogers        "ScaleUnit": "100%"
329de44486fSIan Rogers    },
330de44486fSIan Rogers    {
331de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
332de44486fSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@ / (tma_retiring * tma_info_slots)",
333de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
334de44486fSIan Rogers        "MetricName": "tma_fp_vector",
335de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
336de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
337de44486fSIan Rogers        "ScaleUnit": "100%"
338de44486fSIan Rogers    },
339de44486fSIan Rogers    {
340de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
341de44486fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / (tma_retiring * tma_info_slots)",
342de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
343de44486fSIan Rogers        "MetricName": "tma_fp_vector_128b",
344de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_128b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
345de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
346de44486fSIan Rogers        "ScaleUnit": "100%"
347de44486fSIan Rogers    },
348de44486fSIan Rogers    {
349de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
350de44486fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / (tma_retiring * tma_info_slots)",
351de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
352de44486fSIan Rogers        "MetricName": "tma_fp_vector_256b",
353de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_256b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
354de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
355de44486fSIan Rogers        "ScaleUnit": "100%"
356de44486fSIan Rogers    },
357de44486fSIan Rogers    {
358de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors",
359de44486fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / (tma_retiring * tma_info_slots)",
360de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
361de44486fSIan Rogers        "MetricName": "tma_fp_vector_512b",
362de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_512b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
363de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
364de44486fSIan Rogers        "ScaleUnit": "100%"
365de44486fSIan Rogers    },
366de44486fSIan Rogers    {
367de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
368de44486fSIan Rogers        "MetricExpr": "topdown\\-fe\\-bound / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) - INT_MISC.UOP_DROPPING / tma_info_slots",
369de44486fSIan Rogers        "MetricGroup": "PGO;TmaL1;TopdownL1;tma_L1_group",
370de44486fSIan Rogers        "MetricName": "tma_frontend_bound",
371de44486fSIan Rogers        "MetricThreshold": "tma_frontend_bound > 0.15",
372*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
373de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Pipeline_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound. Sample with: FRONTEND_RETIRED.LATENCY_GE_4_PS",
374de44486fSIan Rogers        "ScaleUnit": "100%"
375de44486fSIan Rogers    },
376de44486fSIan Rogers    {
377de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences",
378de44486fSIan Rogers        "MetricExpr": "tma_microcode_sequencer + tma_retiring * (UOPS_DECODED.DEC0 - cpu@UOPS_DECODED.DEC0\\,cmask\\=1@) / IDQ.MITE_UOPS",
379de44486fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
380de44486fSIan Rogers        "MetricName": "tma_heavy_operations",
381de44486fSIan Rogers        "MetricThreshold": "tma_heavy_operations > 0.1",
382*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
383de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences. This highly-correlates with the uop length of these instructions/sequences.",
384de44486fSIan Rogers        "ScaleUnit": "100%"
385de44486fSIan Rogers    },
386de44486fSIan Rogers    {
387de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses",
388de44486fSIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / tma_info_clks",
389de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;IcMiss;TopdownL3;tma_L3_group;tma_fetch_latency_group",
390de44486fSIan Rogers        "MetricName": "tma_icache_misses",
391de44486fSIan Rogers        "MetricThreshold": "tma_icache_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
392de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses. Sample with: FRONTEND_RETIRED.L2_MISS_PS;FRONTEND_RETIRED.L1I_MISS_PS",
393de44486fSIan Rogers        "ScaleUnit": "100%"
394de44486fSIan Rogers    },
395de44486fSIan Rogers    {
396de44486fSIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
397de44486fSIan Rogers        "MetricExpr": "tma_info_turbo_utilization * TSC / 1e9 / duration_time",
398de44486fSIan Rogers        "MetricGroup": "Power;Summary",
399de44486fSIan Rogers        "MetricName": "tma_info_average_frequency"
400de44486fSIan Rogers    },
401de44486fSIan Rogers    {
402de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses)",
403de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
404de44486fSIan Rogers        "MetricExpr": "100 * tma_fetch_latency * (tma_itlb_misses + tma_icache_misses + tma_unknown_branches) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)",
405de44486fSIan Rogers        "MetricGroup": "BigFoot;Fed;Frontend;IcMiss;MemoryTLB;tma_issueBC",
406de44486fSIan Rogers        "MetricName": "tma_info_big_code",
407de44486fSIan Rogers        "MetricThreshold": "tma_info_big_code > 20",
408de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses). Related metrics: tma_info_branching_overhead"
409de44486fSIan Rogers    },
410de44486fSIan Rogers    {
411de44486fSIan Rogers        "BriefDescription": "Branch instructions per taken branch.",
412de44486fSIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
413de44486fSIan Rogers        "MetricGroup": "Branches;Fed;PGO",
414de44486fSIan Rogers        "MetricName": "tma_info_bptkbranch"
415de44486fSIan Rogers    },
416de44486fSIan Rogers    {
417de44486fSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
418de44486fSIan Rogers        "MetricExpr": "(tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) * tma_info_slots / BR_MISP_RETIRED.ALL_BRANCHES",
419de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts;tma_issueBM",
420de44486fSIan Rogers        "MetricName": "tma_info_branch_misprediction_cost",
421de44486fSIan Rogers        "PublicDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear). Related metrics: tma_branch_mispredicts, tma_info_mispredictions, tma_mispredicts_resteers"
422de44486fSIan Rogers    },
423de44486fSIan Rogers    {
424de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls)",
425de44486fSIan Rogers        "MetricExpr": "100 * ((BR_INST_RETIRED.COND + 3 * BR_INST_RETIRED.NEAR_CALL + (BR_INST_RETIRED.NEAR_TAKEN - BR_INST_RETIRED.COND_TAKEN - 2 * BR_INST_RETIRED.NEAR_CALL)) / tma_info_slots)",
426de44486fSIan Rogers        "MetricGroup": "Ret;tma_issueBC",
427de44486fSIan Rogers        "MetricName": "tma_info_branching_overhead",
428de44486fSIan Rogers        "MetricThreshold": "tma_info_branching_overhead > 10",
429de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls). Related metrics: tma_info_big_code"
430de44486fSIan Rogers    },
431de44486fSIan Rogers    {
432de44486fSIan Rogers        "BriefDescription": "Fraction of branches that are CALL or RET",
433de44486fSIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_CALL + BR_INST_RETIRED.NEAR_RETURN) / BR_INST_RETIRED.ALL_BRANCHES",
434de44486fSIan Rogers        "MetricGroup": "Bad;Branches",
435de44486fSIan Rogers        "MetricName": "tma_info_callret"
436de44486fSIan Rogers    },
437de44486fSIan Rogers    {
438de44486fSIan Rogers        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
439de44486fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
440de44486fSIan Rogers        "MetricGroup": "Pipeline",
441de44486fSIan Rogers        "MetricName": "tma_info_clks"
442de44486fSIan Rogers    },
443de44486fSIan Rogers    {
444de44486fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) code speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
445de44486fSIan Rogers        "MetricExpr": "1e3 * ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
446de44486fSIan Rogers        "MetricGroup": "Fed;MemoryTLB",
447de44486fSIan Rogers        "MetricName": "tma_info_code_stlb_mpki"
448de44486fSIan Rogers    },
449de44486fSIan Rogers    {
450de44486fSIan Rogers        "BriefDescription": "Fraction of branches that are non-taken conditionals",
451de44486fSIan Rogers        "MetricExpr": "BR_INST_RETIRED.COND_NTAKEN / BR_INST_RETIRED.ALL_BRANCHES",
452de44486fSIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
453de44486fSIan Rogers        "MetricName": "tma_info_cond_nt"
454de44486fSIan Rogers    },
455de44486fSIan Rogers    {
456de44486fSIan Rogers        "BriefDescription": "Fraction of branches that are taken conditionals",
457de44486fSIan Rogers        "MetricExpr": "BR_INST_RETIRED.COND_TAKEN / BR_INST_RETIRED.ALL_BRANCHES",
458de44486fSIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
459de44486fSIan Rogers        "MetricName": "tma_info_cond_tk"
460de44486fSIan Rogers    },
461de44486fSIan Rogers    {
462de44486fSIan Rogers        "BriefDescription": "Probability of Core Bound bottleneck hidden by SMT-profiling artifacts",
463de44486fSIan Rogers        "MetricExpr": "(100 * (1 - tma_core_bound / tma_ports_utilization if tma_core_bound < tma_ports_utilization else 1) if tma_info_smt_2t_utilization > 0.5 else 0)",
464de44486fSIan Rogers        "MetricGroup": "Cor;SMT",
465de44486fSIan Rogers        "MetricName": "tma_info_core_bound_likely",
466de44486fSIan Rogers        "MetricThreshold": "tma_info_core_bound_likely > 0.5"
467de44486fSIan Rogers    },
468de44486fSIan Rogers    {
469de44486fSIan Rogers        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
470de44486fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.DISTRIBUTED",
471de44486fSIan Rogers        "MetricGroup": "SMT",
472de44486fSIan Rogers        "MetricName": "tma_info_core_clks"
473de44486fSIan Rogers    },
474de44486fSIan Rogers    {
475de44486fSIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
476de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_core_clks",
477de44486fSIan Rogers        "MetricGroup": "Ret;SMT;TmaL1;tma_L1_group",
478de44486fSIan Rogers        "MetricName": "tma_info_coreipc"
479de44486fSIan Rogers    },
480de44486fSIan Rogers    {
481de44486fSIan Rogers        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
482de44486fSIan Rogers        "MetricExpr": "1 / tma_info_ipc",
483de44486fSIan Rogers        "MetricGroup": "Mem;Pipeline",
484de44486fSIan Rogers        "MetricName": "tma_info_cpi"
485de44486fSIan Rogers    },
486de44486fSIan Rogers    {
487de44486fSIan Rogers        "BriefDescription": "Average CPU Utilization",
488de44486fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / TSC",
489de44486fSIan Rogers        "MetricGroup": "HPC;Summary",
490de44486fSIan Rogers        "MetricName": "tma_info_cpu_utilization"
491de44486fSIan Rogers    },
492de44486fSIan Rogers    {
493de44486fSIan Rogers        "BriefDescription": "Average Parallel L2 cache miss data reads",
494de44486fSIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD",
495de44486fSIan Rogers        "MetricGroup": "Memory_BW;Offcore",
496de44486fSIan Rogers        "MetricName": "tma_info_data_l2_mlp"
497de44486fSIan Rogers    },
498de44486fSIan Rogers    {
499de44486fSIan Rogers        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
500de44486fSIan Rogers        "MetricExpr": "64 * (arb@event\\=0x81\\,umask\\=0x1@ + arb@event\\=0x84\\,umask\\=0x1@) / 1e6 / duration_time / 1e3",
501de44486fSIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC;tma_issueBW",
502de44486fSIan Rogers        "MetricName": "tma_info_dram_bw_use",
503de44486fSIan Rogers        "PublicDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]. Related metrics: tma_fb_full, tma_info_memory_bandwidth, tma_mem_bandwidth, tma_sq_full"
504de44486fSIan Rogers    },
505de44486fSIan Rogers    {
506de44486fSIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
507de44486fSIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / UOPS_ISSUED.ANY",
508de44486fSIan Rogers        "MetricGroup": "DSB;Fed;FetchBW;tma_issueFB",
509de44486fSIan Rogers        "MetricName": "tma_info_dsb_coverage",
510de44486fSIan Rogers        "MetricThreshold": "tma_info_dsb_coverage < 0.7 & tma_info_ipc / 5 > 0.35",
511de44486fSIan Rogers        "PublicDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache). Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_dsb_misses, tma_info_iptb, tma_lcp"
512de44486fSIan Rogers    },
513de44486fSIan Rogers    {
514de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck",
515de44486fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_dsb_switches / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) + tma_fetch_bandwidth * tma_mite / (tma_dsb + tma_lsd + tma_mite))",
516de44486fSIan Rogers        "MetricGroup": "DSBmiss;Fed;tma_issueFB",
517de44486fSIan Rogers        "MetricName": "tma_info_dsb_misses",
518de44486fSIan Rogers        "MetricThreshold": "tma_info_dsb_misses > 10",
519de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_dsb_coverage, tma_info_iptb, tma_lcp"
520de44486fSIan Rogers    },
521de44486fSIan Rogers    {
522de44486fSIan Rogers        "BriefDescription": "Average number of cycles of a switch from the DSB fetch-unit to MITE fetch unit - see DSB_Switches tree node for details.",
523de44486fSIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / cpu@DSB2MITE_SWITCHES.PENALTY_CYCLES\\,cmask\\=1\\,edge@",
524de44486fSIan Rogers        "MetricGroup": "DSBmiss",
525de44486fSIan Rogers        "MetricName": "tma_info_dsb_switch_cost"
526de44486fSIan Rogers    },
527de44486fSIan Rogers    {
528de44486fSIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-thread",
529de44486fSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
530de44486fSIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
531de44486fSIan Rogers        "MetricName": "tma_info_execute"
532de44486fSIan Rogers    },
533de44486fSIan Rogers    {
534de44486fSIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
535de44486fSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
536de44486fSIan Rogers        "MetricGroup": "Cor;Pipeline",
537de44486fSIan Rogers        "MetricName": "tma_info_execute_per_issue",
538de44486fSIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
539de44486fSIan Rogers    },
540de44486fSIan Rogers    {
541de44486fSIan Rogers        "BriefDescription": "Fill Buffer (FB) hits per kilo instructions for retired demand loads (L1D misses that merge into ongoing miss-handling entries)",
542de44486fSIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.FB_HIT / INST_RETIRED.ANY",
543de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
544de44486fSIan Rogers        "MetricName": "tma_info_fb_hpki"
545de44486fSIan Rogers    },
546de44486fSIan Rogers    {
547de44486fSIan Rogers        "BriefDescription": "Average number of Uops issued by front-end when it issued something",
548de44486fSIan Rogers        "MetricExpr": "UOPS_ISSUED.ANY / cpu@UOPS_ISSUED.ANY\\,cmask\\=1@",
549de44486fSIan Rogers        "MetricGroup": "Fed;FetchBW",
550de44486fSIan Rogers        "MetricName": "tma_info_fetch_upc"
551de44486fSIan Rogers    },
552de44486fSIan Rogers    {
553de44486fSIan Rogers        "BriefDescription": "Floating Point Operations Per Cycle",
554de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
555de44486fSIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / tma_info_core_clks",
556de44486fSIan Rogers        "MetricGroup": "Flops;Ret",
557de44486fSIan Rogers        "MetricName": "tma_info_flopc"
558de44486fSIan Rogers    },
559de44486fSIan Rogers    {
560de44486fSIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
561de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
562de44486fSIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@) / (2 * tma_info_core_clks)",
563de44486fSIan Rogers        "MetricGroup": "Cor;Flops;HPC",
564de44486fSIan Rogers        "MetricName": "tma_info_fp_arith_utilization",
565de44486fSIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
566de44486fSIan Rogers    },
567de44486fSIan Rogers    {
568de44486fSIan Rogers        "BriefDescription": "Giga Floating Point Operations Per Second",
569de44486fSIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / 1e9 / duration_time",
570de44486fSIan Rogers        "MetricGroup": "Cor;Flops;HPC",
571de44486fSIan Rogers        "MetricName": "tma_info_gflops",
572de44486fSIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
573de44486fSIan Rogers    },
574de44486fSIan Rogers    {
575de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck",
576de44486fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_icache_misses / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
577de44486fSIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss;tma_issueFL",
578de44486fSIan Rogers        "MetricName": "tma_info_ic_misses",
579de44486fSIan Rogers        "MetricThreshold": "tma_info_ic_misses > 5",
580de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck. Related metrics: "
581de44486fSIan Rogers    },
582de44486fSIan Rogers    {
583de44486fSIan Rogers        "BriefDescription": "Average Latency for L1 instruction cache misses",
584de44486fSIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@",
585de44486fSIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss",
586de44486fSIan Rogers        "MetricName": "tma_info_icache_miss_latency"
587de44486fSIan Rogers    },
588de44486fSIan Rogers    {
589de44486fSIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
590de44486fSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / (UOPS_EXECUTED.CORE_CYCLES_GE_1 / 2 if #SMT_on else UOPS_EXECUTED.CORE_CYCLES_GE_1)",
591de44486fSIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
592de44486fSIan Rogers        "MetricName": "tma_info_ilp"
593de44486fSIan Rogers    },
594de44486fSIan Rogers    {
595de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch bandwidth related bottlenecks",
596de44486fSIan Rogers        "MetricExpr": "100 * (tma_frontend_bound - tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) - tma_info_big_code",
597de44486fSIan Rogers        "MetricGroup": "Fed;FetchBW;Frontend",
598de44486fSIan Rogers        "MetricName": "tma_info_instruction_fetch_bw",
599de44486fSIan Rogers        "MetricThreshold": "tma_info_instruction_fetch_bw > 20"
600de44486fSIan Rogers    },
601de44486fSIan Rogers    {
602de44486fSIan Rogers        "BriefDescription": "Total number of retired Instructions",
603de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
604de44486fSIan Rogers        "MetricGroup": "Summary;TmaL1;tma_L1_group",
605de44486fSIan Rogers        "MetricName": "tma_info_instructions",
606de44486fSIan Rogers        "PublicDescription": "Total number of retired Instructions. Sample with: INST_RETIRED.PREC_DIST"
607de44486fSIan Rogers    },
608de44486fSIan Rogers    {
609de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
610de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@)",
611de44486fSIan Rogers        "MetricGroup": "Flops;InsType",
612de44486fSIan Rogers        "MetricName": "tma_info_iparith",
613de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith < 10",
614de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). May undercount due to FMA double counting. Approximated prior to BDW."
615de44486fSIan Rogers    },
616de44486fSIan Rogers    {
617de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
618de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
619de44486fSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
620de44486fSIan Rogers        "MetricName": "tma_info_iparith_avx128",
621de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith_avx128 < 10",
622de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
623de44486fSIan Rogers    },
624de44486fSIan Rogers    {
625de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
626de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
627de44486fSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
628de44486fSIan Rogers        "MetricName": "tma_info_iparith_avx256",
629de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith_avx256 < 10",
630de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
631de44486fSIan Rogers    },
632de44486fSIan Rogers    {
633de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate)",
634de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
635de44486fSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
636de44486fSIan Rogers        "MetricName": "tma_info_iparith_avx512",
637de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith_avx512 < 10",
638de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
639de44486fSIan Rogers    },
640de44486fSIan Rogers    {
641de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
642de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
643de44486fSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
644de44486fSIan Rogers        "MetricName": "tma_info_iparith_scalar_dp",
645de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith_scalar_dp < 10",
646de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
647de44486fSIan Rogers    },
648de44486fSIan Rogers    {
649de44486fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
650de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
651de44486fSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
652de44486fSIan Rogers        "MetricName": "tma_info_iparith_scalar_sp",
653de44486fSIan Rogers        "MetricThreshold": "tma_info_iparith_scalar_sp < 10",
654de44486fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
655de44486fSIan Rogers    },
656de44486fSIan Rogers    {
657de44486fSIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
658de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
659de44486fSIan Rogers        "MetricGroup": "Branches;Fed;InsType",
660de44486fSIan Rogers        "MetricName": "tma_info_ipbranch",
661de44486fSIan Rogers        "MetricThreshold": "tma_info_ipbranch < 8"
662de44486fSIan Rogers    },
663de44486fSIan Rogers    {
664de44486fSIan Rogers        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
665de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_clks",
666de44486fSIan Rogers        "MetricGroup": "Ret;Summary",
667de44486fSIan Rogers        "MetricName": "tma_info_ipc"
668de44486fSIan Rogers    },
669de44486fSIan Rogers    {
670de44486fSIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
671de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
672de44486fSIan Rogers        "MetricGroup": "Branches;Fed;PGO",
673de44486fSIan Rogers        "MetricName": "tma_info_ipcall",
674de44486fSIan Rogers        "MetricThreshold": "tma_info_ipcall < 200"
675de44486fSIan Rogers    },
676de44486fSIan Rogers    {
677de44486fSIan Rogers        "BriefDescription": "Instructions per non-speculative DSB miss (lower number means higher occurrence rate)",
678de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FRONTEND_RETIRED.ANY_DSB_MISS",
679de44486fSIan Rogers        "MetricGroup": "DSBmiss;Fed",
680de44486fSIan Rogers        "MetricName": "tma_info_ipdsb_miss_ret",
681de44486fSIan Rogers        "MetricThreshold": "tma_info_ipdsb_miss_ret < 50"
682de44486fSIan Rogers    },
683de44486fSIan Rogers    {
684de44486fSIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
685de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
686de44486fSIan Rogers        "MetricGroup": "Branches;OS",
687de44486fSIan Rogers        "MetricName": "tma_info_ipfarbranch",
688de44486fSIan Rogers        "MetricThreshold": "tma_info_ipfarbranch < 1e6"
689de44486fSIan Rogers    },
690de44486fSIan Rogers    {
691de44486fSIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
692de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
693de44486fSIan Rogers        "MetricGroup": "Flops;InsType",
694de44486fSIan Rogers        "MetricName": "tma_info_ipflop",
695de44486fSIan Rogers        "MetricThreshold": "tma_info_ipflop < 10"
696de44486fSIan Rogers    },
697de44486fSIan Rogers    {
698de44486fSIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
699de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_LOADS",
700de44486fSIan Rogers        "MetricGroup": "InsType",
701de44486fSIan Rogers        "MetricName": "tma_info_ipload",
702de44486fSIan Rogers        "MetricThreshold": "tma_info_ipload < 3"
703de44486fSIan Rogers    },
704de44486fSIan Rogers    {
705de44486fSIan Rogers        "BriefDescription": "Instructions per retired mispredicts for conditional non-taken branches (lower number means higher occurrence rate).",
706de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.COND_NTAKEN",
707de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts",
708de44486fSIan Rogers        "MetricName": "tma_info_ipmisp_cond_ntaken",
709de44486fSIan Rogers        "MetricThreshold": "tma_info_ipmisp_cond_ntaken < 200"
710de44486fSIan Rogers    },
711de44486fSIan Rogers    {
712de44486fSIan Rogers        "BriefDescription": "Instructions per retired mispredicts for conditional taken branches (lower number means higher occurrence rate).",
713de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.COND_TAKEN",
714de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts",
715de44486fSIan Rogers        "MetricName": "tma_info_ipmisp_cond_taken",
716de44486fSIan Rogers        "MetricThreshold": "tma_info_ipmisp_cond_taken < 200"
717de44486fSIan Rogers    },
718de44486fSIan Rogers    {
719de44486fSIan Rogers        "BriefDescription": "Instructions per retired mispredicts for indirect CALL or JMP branches (lower number means higher occurrence rate).",
720de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.INDIRECT",
721de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts",
722de44486fSIan Rogers        "MetricName": "tma_info_ipmisp_indirect",
723de44486fSIan Rogers        "MetricThreshold": "tma_info_ipmisp_indirect < 1e3"
724de44486fSIan Rogers    },
725de44486fSIan Rogers    {
726de44486fSIan Rogers        "BriefDescription": "Instructions per retired mispredicts for return branches (lower number means higher occurrence rate).",
727de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.RET",
728de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts",
729de44486fSIan Rogers        "MetricName": "tma_info_ipmisp_ret",
730de44486fSIan Rogers        "MetricThreshold": "tma_info_ipmisp_ret < 500"
731de44486fSIan Rogers    },
732de44486fSIan Rogers    {
733de44486fSIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
734de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
735de44486fSIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
736de44486fSIan Rogers        "MetricName": "tma_info_ipmispredict",
737de44486fSIan Rogers        "MetricThreshold": "tma_info_ipmispredict < 200"
738de44486fSIan Rogers    },
739de44486fSIan Rogers    {
740de44486fSIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
741de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_STORES",
742de44486fSIan Rogers        "MetricGroup": "InsType",
743de44486fSIan Rogers        "MetricName": "tma_info_ipstore",
744de44486fSIan Rogers        "MetricThreshold": "tma_info_ipstore < 8"
745de44486fSIan Rogers    },
746de44486fSIan Rogers    {
747de44486fSIan Rogers        "BriefDescription": "Instructions per Software prefetch instruction (of any type: NTA/T0/T1/T2/Prefetch) (lower number means higher occurrence rate)",
748de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / cpu@SW_PREFETCH_ACCESS.T0\\,umask\\=0xF@",
749de44486fSIan Rogers        "MetricGroup": "Prefetches",
750de44486fSIan Rogers        "MetricName": "tma_info_ipswpf",
751de44486fSIan Rogers        "MetricThreshold": "tma_info_ipswpf < 100"
752de44486fSIan Rogers    },
753de44486fSIan Rogers    {
754de44486fSIan Rogers        "BriefDescription": "Instruction per taken branch",
755de44486fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
756de44486fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO;tma_issueFB",
757de44486fSIan Rogers        "MetricName": "tma_info_iptb",
758de44486fSIan Rogers        "MetricThreshold": "tma_info_iptb < 11",
759de44486fSIan Rogers        "PublicDescription": "Instruction per taken branch. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_dsb_coverage, tma_info_dsb_misses, tma_lcp"
760de44486fSIan Rogers    },
761de44486fSIan Rogers    {
762de44486fSIan Rogers        "BriefDescription": "Instructions per speculative Unknown Branch Misprediction (BAClear) (lower number means higher occurrence rate)",
763de44486fSIan Rogers        "MetricExpr": "tma_info_instructions / BACLEARS.ANY",
764de44486fSIan Rogers        "MetricGroup": "Fed",
765de44486fSIan Rogers        "MetricName": "tma_info_ipunknown_branch"
766de44486fSIan Rogers    },
767de44486fSIan Rogers    {
768de44486fSIan Rogers        "BriefDescription": "Fraction of branches that are unconditional (direct or indirect) jumps",
769de44486fSIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_TAKEN - BR_INST_RETIRED.COND_TAKEN - 2 * BR_INST_RETIRED.NEAR_CALL) / BR_INST_RETIRED.ALL_BRANCHES",
770de44486fSIan Rogers        "MetricGroup": "Bad;Branches",
771de44486fSIan Rogers        "MetricName": "tma_info_jump"
772de44486fSIan Rogers    },
773de44486fSIan Rogers    {
774de44486fSIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
775de44486fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
776de44486fSIan Rogers        "MetricGroup": "OS",
777de44486fSIan Rogers        "MetricName": "tma_info_kernel_cpi"
778de44486fSIan Rogers    },
779de44486fSIan Rogers    {
780de44486fSIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
781de44486fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
782de44486fSIan Rogers        "MetricGroup": "OS",
783de44486fSIan Rogers        "MetricName": "tma_info_kernel_utilization",
784de44486fSIan Rogers        "MetricThreshold": "tma_info_kernel_utilization > 0.05"
785de44486fSIan Rogers    },
786de44486fSIan Rogers    {
787de44486fSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
788de44486fSIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1e9 / duration_time",
789de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
790de44486fSIan Rogers        "MetricName": "tma_info_l1d_cache_fill_bw"
791de44486fSIan Rogers    },
792de44486fSIan Rogers    {
793de44486fSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
794de44486fSIan Rogers        "MetricExpr": "tma_info_l1d_cache_fill_bw",
795de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
796de44486fSIan Rogers        "MetricName": "tma_info_l1d_cache_fill_bw_1t"
797de44486fSIan Rogers    },
798de44486fSIan Rogers    {
799de44486fSIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
800de44486fSIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L1_MISS / INST_RETIRED.ANY",
801de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
802de44486fSIan Rogers        "MetricName": "tma_info_l1mpki"
803de44486fSIan Rogers    },
804de44486fSIan Rogers    {
805de44486fSIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for all demand loads (including speculative)",
806de44486fSIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.ALL_DEMAND_DATA_RD / INST_RETIRED.ANY",
807de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
808de44486fSIan Rogers        "MetricName": "tma_info_l1mpki_load"
809de44486fSIan Rogers    },
810de44486fSIan Rogers    {
811de44486fSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
812de44486fSIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1e9 / duration_time",
813de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
814de44486fSIan Rogers        "MetricName": "tma_info_l2_cache_fill_bw"
815de44486fSIan Rogers    },
816de44486fSIan Rogers    {
817de44486fSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
818de44486fSIan Rogers        "MetricExpr": "tma_info_l2_cache_fill_bw",
819de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
820de44486fSIan Rogers        "MetricName": "tma_info_l2_cache_fill_bw_1t"
821de44486fSIan Rogers    },
822de44486fSIan Rogers    {
823de44486fSIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
824de44486fSIan Rogers        "MetricExpr": "1e3 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
825de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
826de44486fSIan Rogers        "MetricName": "tma_info_l2hpki_all"
827de44486fSIan Rogers    },
828de44486fSIan Rogers    {
829de44486fSIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
830de44486fSIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
831de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
832de44486fSIan Rogers        "MetricName": "tma_info_l2hpki_load"
833de44486fSIan Rogers    },
834de44486fSIan Rogers    {
835de44486fSIan Rogers        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
836de44486fSIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
837de44486fSIan Rogers        "MetricGroup": "Backend;CacheMisses;Mem",
838de44486fSIan Rogers        "MetricName": "tma_info_l2mpki"
839de44486fSIan Rogers    },
840de44486fSIan Rogers    {
841de44486fSIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
842de44486fSIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.MISS / INST_RETIRED.ANY",
843de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem;Offcore",
844de44486fSIan Rogers        "MetricName": "tma_info_l2mpki_all"
845de44486fSIan Rogers    },
846de44486fSIan Rogers    {
847de44486fSIan Rogers        "BriefDescription": "L2 cache true code cacheline misses per kilo instruction",
848de44486fSIan Rogers        "MetricExpr": "1e3 * FRONTEND_RETIRED.L2_MISS / INST_RETIRED.ANY",
849de44486fSIan Rogers        "MetricGroup": "IcMiss",
850de44486fSIan Rogers        "MetricName": "tma_info_l2mpki_code"
851de44486fSIan Rogers    },
852de44486fSIan Rogers    {
853de44486fSIan Rogers        "BriefDescription": "L2 cache speculative code cacheline misses per kilo instruction",
854de44486fSIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
855de44486fSIan Rogers        "MetricGroup": "IcMiss",
856de44486fSIan Rogers        "MetricName": "tma_info_l2mpki_code_all"
857de44486fSIan Rogers    },
858de44486fSIan Rogers    {
859de44486fSIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
860de44486fSIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
861de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
862de44486fSIan Rogers        "MetricName": "tma_info_l2mpki_load"
863de44486fSIan Rogers    },
864de44486fSIan Rogers    {
865de44486fSIan Rogers        "BriefDescription": "Average per-core data access bandwidth to the L3 cache [GB / sec]",
866de44486fSIan Rogers        "MetricExpr": "64 * OFFCORE_REQUESTS.ALL_REQUESTS / 1e9 / duration_time",
867de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
868de44486fSIan Rogers        "MetricName": "tma_info_l3_cache_access_bw"
869de44486fSIan Rogers    },
870de44486fSIan Rogers    {
871de44486fSIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
872de44486fSIan Rogers        "MetricExpr": "tma_info_l3_cache_access_bw",
873de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
874de44486fSIan Rogers        "MetricName": "tma_info_l3_cache_access_bw_1t"
875de44486fSIan Rogers    },
876de44486fSIan Rogers    {
877de44486fSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
878de44486fSIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1e9 / duration_time",
879de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
880de44486fSIan Rogers        "MetricName": "tma_info_l3_cache_fill_bw"
881de44486fSIan Rogers    },
882de44486fSIan Rogers    {
883de44486fSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
884de44486fSIan Rogers        "MetricExpr": "tma_info_l3_cache_fill_bw",
885de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW",
886de44486fSIan Rogers        "MetricName": "tma_info_l3_cache_fill_bw_1t"
887de44486fSIan Rogers    },
888de44486fSIan Rogers    {
889de44486fSIan Rogers        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
890de44486fSIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L3_MISS / INST_RETIRED.ANY",
891de44486fSIan Rogers        "MetricGroup": "CacheMisses;Mem",
892de44486fSIan Rogers        "MetricName": "tma_info_l3mpki"
893de44486fSIan Rogers    },
894de44486fSIan Rogers    {
895de44486fSIan Rogers        "BriefDescription": "Average Latency for L2 cache miss demand Loads",
896de44486fSIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS.DEMAND_DATA_RD",
897de44486fSIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
898de44486fSIan Rogers        "MetricName": "tma_info_load_l2_miss_latency"
899de44486fSIan Rogers    },
900de44486fSIan Rogers    {
901de44486fSIan Rogers        "BriefDescription": "Average Parallel L2 cache miss demand Loads",
902de44486fSIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / cpu@OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD\\,cmask\\=1@",
903de44486fSIan Rogers        "MetricGroup": "Memory_BW;Offcore",
904de44486fSIan Rogers        "MetricName": "tma_info_load_l2_mlp"
905de44486fSIan Rogers    },
906de44486fSIan Rogers    {
907de44486fSIan Rogers        "BriefDescription": "Average Latency for L3 cache miss demand Loads",
908de44486fSIan Rogers        "MetricExpr": "cpu@OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD\\,umask\\=0x10@ / OFFCORE_REQUESTS.L3_MISS_DEMAND_DATA_RD",
909de44486fSIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
910de44486fSIan Rogers        "MetricName": "tma_info_load_l3_miss_latency"
911de44486fSIan Rogers    },
912de44486fSIan Rogers    {
913de44486fSIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
914de44486fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_RETIRED.L1_MISS + MEM_LOAD_RETIRED.FB_HIT)",
915de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
916de44486fSIan Rogers        "MetricName": "tma_info_load_miss_real_latency"
917de44486fSIan Rogers    },
918de44486fSIan Rogers    {
919de44486fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) data load speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
920de44486fSIan Rogers        "MetricExpr": "1e3 * DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
921de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
922de44486fSIan Rogers        "MetricName": "tma_info_load_stlb_mpki"
923de44486fSIan Rogers    },
924de44486fSIan Rogers    {
925de44486fSIan Rogers        "BriefDescription": "Fraction of Uops delivered by the LSD (Loop Stream Detector; aka Loop Cache)",
926de44486fSIan Rogers        "MetricExpr": "LSD.UOPS / UOPS_ISSUED.ANY",
927de44486fSIan Rogers        "MetricGroup": "Fed;LSD",
928de44486fSIan Rogers        "MetricName": "tma_info_lsd_coverage"
929de44486fSIan Rogers    },
930de44486fSIan Rogers    {
931de44486fSIan Rogers        "BriefDescription": "Average number of parallel data read requests to external memory",
932de44486fSIan Rogers        "MetricExpr": "UNC_ARB_DAT_OCCUPANCY.RD / UNC_ARB_DAT_OCCUPANCY.RD@cmask\\=1@",
933de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;SoC",
934de44486fSIan Rogers        "MetricName": "tma_info_mem_parallel_reads",
935de44486fSIan Rogers        "PublicDescription": "Average number of parallel data read requests to external memory. Accounts for demand loads and L1/L2 prefetches"
936de44486fSIan Rogers    },
937de44486fSIan Rogers    {
938de44486fSIan Rogers        "BriefDescription": "Average latency of data read request to external memory (in nanoseconds)",
939de44486fSIan Rogers        "MetricExpr": "(UNC_ARB_TRK_OCCUPANCY.RD + UNC_ARB_DAT_OCCUPANCY.RD) / UNC_ARB_TRK_REQUESTS.RD",
940de44486fSIan Rogers        "MetricGroup": "Mem;MemoryLat;SoC",
941de44486fSIan Rogers        "MetricName": "tma_info_mem_read_latency",
942de44486fSIan Rogers        "PublicDescription": "Average latency of data read request to external memory (in nanoseconds). Accounts for demand loads and L1/L2 prefetches. ([RKL+]memory-controller only)"
943de44486fSIan Rogers    },
944de44486fSIan Rogers    {
945de44486fSIan Rogers        "BriefDescription": "Average latency of all requests to external memory (in Uncore cycles)",
946de44486fSIan Rogers        "MetricExpr": "(UNC_ARB_TRK_OCCUPANCY.ALL + UNC_ARB_DAT_OCCUPANCY.RD) / arb@event\\=0x81\\,umask\\=0x1@",
947de44486fSIan Rogers        "MetricGroup": "Mem;SoC",
948de44486fSIan Rogers        "MetricName": "tma_info_mem_request_latency"
949de44486fSIan Rogers    },
950de44486fSIan Rogers    {
951de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks",
952de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_mem_bandwidth / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_sq_full / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full))) + tma_l1_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_fb_full / (tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk))",
953de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore;tma_issueBW",
954de44486fSIan Rogers        "MetricName": "tma_info_memory_bandwidth",
955de44486fSIan Rogers        "MetricThreshold": "tma_info_memory_bandwidth > 20",
956de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks. Related metrics: tma_fb_full, tma_info_dram_bw_use, tma_mem_bandwidth, tma_sq_full"
957de44486fSIan Rogers    },
958de44486fSIan Rogers    {
959de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs)",
960de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_l1_bound / max(tma_memory_bound, tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_dtlb_load / max(tma_l1_bound, tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)) + tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_dtlb_store / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency + tma_streaming_stores)))",
961de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB;Offcore;tma_issueTLB",
962de44486fSIan Rogers        "MetricName": "tma_info_memory_data_tlbs",
963de44486fSIan Rogers        "MetricThreshold": "tma_info_memory_data_tlbs > 20",
964de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs). Related metrics: tma_dtlb_load, tma_dtlb_store"
965de44486fSIan Rogers    },
966de44486fSIan Rogers    {
967de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches)",
968de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_mem_latency / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_l3_hit_latency / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full)) + tma_l2_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound))",
969de44486fSIan Rogers        "MetricGroup": "Mem;MemoryLat;Offcore;tma_issueLat",
970de44486fSIan Rogers        "MetricName": "tma_info_memory_latency",
971de44486fSIan Rogers        "MetricThreshold": "tma_info_memory_latency > 20",
972de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches). Related metrics: tma_l3_hit_latency, tma_mem_latency"
973de44486fSIan Rogers    },
974de44486fSIan Rogers    {
975de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Branch Misprediction related bottlenecks",
976de44486fSIan Rogers        "MetricExpr": "100 * (tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
977de44486fSIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts;tma_issueBM",
978de44486fSIan Rogers        "MetricName": "tma_info_mispredictions",
979de44486fSIan Rogers        "MetricThreshold": "tma_info_mispredictions > 20",
980de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Branch Misprediction related bottlenecks. Related metrics: tma_branch_mispredicts, tma_info_branch_misprediction_cost, tma_mispredicts_resteers"
981de44486fSIan Rogers    },
982de44486fSIan Rogers    {
983de44486fSIan Rogers        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss",
984de44486fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
985de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
986de44486fSIan Rogers        "MetricName": "tma_info_mlp",
987de44486fSIan Rogers        "PublicDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)"
988de44486fSIan Rogers    },
989de44486fSIan Rogers    {
990de44486fSIan Rogers        "BriefDescription": "Fraction of branches of other types (not individually covered by other metrics in Info.Branches group)",
991de44486fSIan Rogers        "MetricExpr": "1 - (tma_info_cond_nt + tma_info_cond_tk + tma_info_callret + tma_info_jump)",
992de44486fSIan Rogers        "MetricGroup": "Bad;Branches",
993de44486fSIan Rogers        "MetricName": "tma_info_other_branches"
994de44486fSIan Rogers    },
995de44486fSIan Rogers    {
996de44486fSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
997de44486fSIan Rogers        "MetricExpr": "(ITLB_MISSES.WALK_PENDING + DTLB_LOAD_MISSES.WALK_PENDING + DTLB_STORE_MISSES.WALK_PENDING) / (2 * tma_info_core_clks)",
998de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
999de44486fSIan Rogers        "MetricName": "tma_info_page_walks_utilization",
1000de44486fSIan Rogers        "MetricThreshold": "tma_info_page_walks_utilization > 0.5"
1001de44486fSIan Rogers    },
1002de44486fSIan Rogers    {
1003de44486fSIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0",
1004de44486fSIan Rogers        "MetricExpr": "CORE_POWER.LVL0_TURBO_LICENSE / tma_info_core_clks",
10055e1dd4f2SIan Rogers        "MetricGroup": "Power",
1006de44486fSIan Rogers        "MetricName": "tma_info_power_license0_utilization",
1007de44486fSIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0.  This includes non-AVX codes, SSE, AVX 128-bit, and low-current AVX 256-bit codes."
1008de44486fSIan Rogers    },
1009de44486fSIan Rogers    {
1010de44486fSIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1",
1011de44486fSIan Rogers        "MetricExpr": "CORE_POWER.LVL1_TURBO_LICENSE / tma_info_core_clks",
1012de44486fSIan Rogers        "MetricGroup": "Power",
1013de44486fSIan Rogers        "MetricName": "tma_info_power_license1_utilization",
1014de44486fSIan Rogers        "MetricThreshold": "tma_info_power_license1_utilization > 0.5",
1015de44486fSIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1.  This includes high current AVX 256-bit instructions as well as low current AVX 512-bit instructions."
1016de44486fSIan Rogers    },
1017de44486fSIan Rogers    {
1018de44486fSIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX)",
1019de44486fSIan Rogers        "MetricExpr": "CORE_POWER.LVL2_TURBO_LICENSE / tma_info_core_clks",
1020de44486fSIan Rogers        "MetricGroup": "Power",
1021de44486fSIan Rogers        "MetricName": "tma_info_power_license2_utilization",
1022de44486fSIan Rogers        "MetricThreshold": "tma_info_power_license2_utilization > 0.5",
1023de44486fSIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX).  This includes high current AVX 512-bit instructions."
1024de44486fSIan Rogers    },
1025de44486fSIan Rogers    {
1026de44486fSIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
1027de44486fSIan Rogers        "MetricExpr": "tma_retiring * tma_info_slots / cpu@UOPS_RETIRED.SLOTS\\,cmask\\=1@",
1028de44486fSIan Rogers        "MetricGroup": "Pipeline;Ret",
1029de44486fSIan Rogers        "MetricName": "tma_info_retire"
1030de44486fSIan Rogers    },
1031de44486fSIan Rogers    {
1032de44486fSIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
1033de44486fSIan Rogers        "MetricExpr": "TOPDOWN.SLOTS",
1034de44486fSIan Rogers        "MetricGroup": "TmaL1;tma_L1_group",
1035de44486fSIan Rogers        "MetricName": "tma_info_slots"
1036de44486fSIan Rogers    },
1037de44486fSIan Rogers    {
1038de44486fSIan Rogers        "BriefDescription": "Fraction of Physical Core issue-slots utilized by this Logical Processor",
1039de44486fSIan Rogers        "MetricExpr": "(tma_info_slots / (TOPDOWN.SLOTS / 2) if #SMT_on else 1)",
1040de44486fSIan Rogers        "MetricGroup": "SMT;TmaL1;tma_L1_group",
1041de44486fSIan Rogers        "MetricName": "tma_info_slots_utilization"
1042de44486fSIan Rogers    },
1043de44486fSIan Rogers    {
1044de44486fSIan Rogers        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
1045de44486fSIan Rogers        "MetricExpr": "(1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_DISTRIBUTED if #SMT_on else 0)",
1046de44486fSIan Rogers        "MetricGroup": "SMT",
1047de44486fSIan Rogers        "MetricName": "tma_info_smt_2t_utilization"
1048de44486fSIan Rogers    },
1049de44486fSIan Rogers    {
1050de44486fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) data store speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
1051de44486fSIan Rogers        "MetricExpr": "1e3 * DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
1052de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
1053de44486fSIan Rogers        "MetricName": "tma_info_store_stlb_mpki"
1054de44486fSIan Rogers    },
1055de44486fSIan Rogers    {
1056de44486fSIan Rogers        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
1057de44486fSIan Rogers        "MetricExpr": "tma_info_clks / CPU_CLK_UNHALTED.REF_TSC",
1058de44486fSIan Rogers        "MetricGroup": "Power",
1059de44486fSIan Rogers        "MetricName": "tma_info_turbo_utilization"
1060de44486fSIan Rogers    },
1061de44486fSIan Rogers    {
1062de44486fSIan Rogers        "BriefDescription": "Uops Per Instruction",
1063de44486fSIan Rogers        "MetricExpr": "tma_retiring * tma_info_slots / INST_RETIRED.ANY",
1064de44486fSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
1065de44486fSIan Rogers        "MetricName": "tma_info_uoppi",
1066de44486fSIan Rogers        "MetricThreshold": "tma_info_uoppi > 1.05"
1067de44486fSIan Rogers    },
1068de44486fSIan Rogers    {
1069de44486fSIan Rogers        "BriefDescription": "Instruction per taken branch",
1070de44486fSIan Rogers        "MetricExpr": "tma_retiring * tma_info_slots / BR_INST_RETIRED.NEAR_TAKEN",
1071de44486fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
1072de44486fSIan Rogers        "MetricName": "tma_info_uptb",
1073de44486fSIan Rogers        "MetricThreshold": "tma_info_uptb < 7.5"
1074de44486fSIan Rogers    },
1075de44486fSIan Rogers    {
1076de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
1077de44486fSIan Rogers        "MetricExpr": "ICACHE_64B.IFTAG_STALL / tma_info_clks",
1078de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_L3_group;tma_fetch_latency_group",
1079de44486fSIan Rogers        "MetricName": "tma_itlb_misses",
1080de44486fSIan Rogers        "MetricThreshold": "tma_itlb_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1081de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: FRONTEND_RETIRED.STLB_MISS_PS;FRONTEND_RETIRED.ITLB_MISS_PS",
1082de44486fSIan Rogers        "ScaleUnit": "100%"
1083de44486fSIan Rogers    },
1084de44486fSIan Rogers    {
1085de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
1086de44486fSIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / tma_info_clks, 0)",
1087de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_issueL1;tma_issueMC;tma_memory_bound_group",
1088de44486fSIan Rogers        "MetricName": "tma_l1_bound",
1089de44486fSIan Rogers        "MetricThreshold": "tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1090de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_RETIRED.L1_HIT_PS;MEM_LOAD_RETIRED.FB_HIT_PS. Related metrics: tma_clears_resteers, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches, tma_ports_utilized_1",
1091de44486fSIan Rogers        "ScaleUnit": "100%"
1092de44486fSIan Rogers    },
1093de44486fSIan Rogers    {
1094de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
1095de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1096de44486fSIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) / (MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + L1D_PEND_MISS.FB_FULL_PERIODS) * ((CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_clks)",
1097de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1098de44486fSIan Rogers        "MetricName": "tma_l2_bound",
1099de44486fSIan Rogers        "MetricThreshold": "tma_l2_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1100de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L2_HIT_PS",
1101de44486fSIan Rogers        "ScaleUnit": "100%"
1102de44486fSIan Rogers    },
1103de44486fSIan Rogers    {
1104de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
1105de44486fSIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L2_MISS - CYCLE_ACTIVITY.STALLS_L3_MISS) / tma_info_clks",
1106de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1107de44486fSIan Rogers        "MetricName": "tma_l3_bound",
1108de44486fSIan Rogers        "MetricThreshold": "tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1109de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS",
1110de44486fSIan Rogers        "ScaleUnit": "100%"
1111de44486fSIan Rogers    },
1112de44486fSIan Rogers    {
1113de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
1114de44486fSIan Rogers        "MetricExpr": "17.5 * tma_info_average_frequency * MEM_LOAD_RETIRED.L3_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_clks",
1115de44486fSIan Rogers        "MetricGroup": "MemoryLat;TopdownL4;tma_L4_group;tma_issueLat;tma_l3_bound_group",
1116de44486fSIan Rogers        "MetricName": "tma_l3_hit_latency",
1117de44486fSIan Rogers        "MetricThreshold": "tma_l3_hit_latency > 0.1 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1118de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS. Related metrics: tma_info_memory_latency, tma_mem_latency",
1119de44486fSIan Rogers        "ScaleUnit": "100%"
1120de44486fSIan Rogers    },
1121de44486fSIan Rogers    {
1122de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
1123de44486fSIan Rogers        "MetricExpr": "ILD_STALL.LCP / tma_info_clks",
1124de44486fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
1125de44486fSIan Rogers        "MetricName": "tma_lcp",
1126de44486fSIan Rogers        "MetricThreshold": "tma_lcp > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1127de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_dsb_coverage, tma_info_dsb_misses, tma_info_iptb",
1128de44486fSIan Rogers        "ScaleUnit": "100%"
1129de44486fSIan Rogers    },
1130de44486fSIan Rogers    {
1131de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
1132de44486fSIan Rogers        "MetricExpr": "max(0, tma_retiring - tma_heavy_operations)",
1133de44486fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
1134de44486fSIan Rogers        "MetricName": "tma_light_operations",
1135de44486fSIan Rogers        "MetricThreshold": "tma_light_operations > 0.6",
1136*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1137de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UopPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
1138de44486fSIan Rogers        "ScaleUnit": "100%"
1139de44486fSIan Rogers    },
1140de44486fSIan Rogers    {
1141de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations",
1142de44486fSIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_2_3 / (2 * tma_info_core_clks)",
1143de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
1144de44486fSIan Rogers        "MetricName": "tma_load_op_utilization",
1145de44486fSIan Rogers        "MetricThreshold": "tma_load_op_utilization > 0.6",
1146de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations. Sample with: UOPS_DISPATCHED.PORT_2_3",
1147de44486fSIan Rogers        "ScaleUnit": "100%"
1148de44486fSIan Rogers    },
1149de44486fSIan Rogers    {
1150de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the (first level) DTLB was missed by load accesses, that later on hit in second-level TLB (STLB)",
1151de44486fSIan Rogers        "MetricExpr": "tma_dtlb_load - tma_load_stlb_miss",
1152de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
1153de44486fSIan Rogers        "MetricName": "tma_load_stlb_hit",
1154de44486fSIan Rogers        "MetricThreshold": "tma_load_stlb_hit > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1155de44486fSIan Rogers        "ScaleUnit": "100%"
1156de44486fSIan Rogers    },
1157de44486fSIan Rogers    {
1158de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the Second-level TLB (STLB) was missed by load accesses, performing a hardware page walk",
1159de44486fSIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_ACTIVE / tma_info_clks",
1160de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
1161de44486fSIan Rogers        "MetricName": "tma_load_stlb_miss",
1162de44486fSIan Rogers        "MetricThreshold": "tma_load_stlb_miss > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1163de44486fSIan Rogers        "ScaleUnit": "100%"
1164de44486fSIan Rogers    },
1165de44486fSIan Rogers    {
1166de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
1167de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1168de44486fSIan Rogers        "MetricExpr": "(16 * max(0, MEM_INST_RETIRED.LOCK_LOADS - L2_RQSTS.ALL_RFO) + MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES * (10 * L2_RQSTS.RFO_HIT + min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO))) / tma_info_clks",
1169de44486fSIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_l1_bound_group",
1170de44486fSIan Rogers        "MetricName": "tma_lock_latency",
1171de44486fSIan Rogers        "MetricThreshold": "tma_lock_latency > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1172de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_INST_RETIRED.LOCK_LOADS_PS. Related metrics: tma_store_latency",
1173de44486fSIan Rogers        "ScaleUnit": "100%"
1174de44486fSIan Rogers    },
1175de44486fSIan Rogers    {
1176de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to LSD (Loop Stream Detector) unit",
1177de44486fSIan Rogers        "MetricExpr": "(LSD.CYCLES_ACTIVE - LSD.CYCLES_OK) / tma_info_core_clks / 2",
1178de44486fSIan Rogers        "MetricGroup": "FetchBW;LSD;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
1179de44486fSIan Rogers        "MetricName": "tma_lsd",
1180de44486fSIan Rogers        "MetricThreshold": "tma_lsd > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35)",
1181de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to LSD (Loop Stream Detector) unit.  LSD typically does well sustaining Uop supply. However; in some rare cases; optimal uop-delivery could not be reached for small loops whose size (in terms of number of uops) does not suit well the LSD structure.",
1182de44486fSIan Rogers        "ScaleUnit": "100%"
1183de44486fSIan Rogers    },
1184de44486fSIan Rogers    {
1185de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
1186de44486fSIan Rogers        "MetricExpr": "max(0, tma_bad_speculation - tma_branch_mispredicts)",
1187de44486fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueMC;tma_issueSyncxn",
1188de44486fSIan Rogers        "MetricName": "tma_machine_clears",
1189de44486fSIan Rogers        "MetricThreshold": "tma_machine_clears > 0.1 & tma_bad_speculation > 0.15",
1190*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1191de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT. Related metrics: tma_clears_resteers, tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_l1_bound, tma_microcode_sequencer, tma_ms_switches, tma_remote_cache",
1192de44486fSIan Rogers        "ScaleUnit": "100%"
1193de44486fSIan Rogers    },
1194de44486fSIan Rogers    {
1195de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
1196de44486fSIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / tma_info_clks",
1197de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueBW",
1198de44486fSIan Rogers        "MetricName": "tma_mem_bandwidth",
1199de44486fSIan Rogers        "MetricThreshold": "tma_mem_bandwidth > 0.2 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1200de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that). Related metrics: tma_fb_full, tma_info_dram_bw_use, tma_info_memory_bandwidth, tma_sq_full",
1201de44486fSIan Rogers        "ScaleUnit": "100%"
1202de44486fSIan Rogers    },
1203de44486fSIan Rogers    {
1204de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
1205de44486fSIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / tma_info_clks - tma_mem_bandwidth",
1206de44486fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueLat",
1207de44486fSIan Rogers        "MetricName": "tma_mem_latency",
1208de44486fSIan Rogers        "MetricThreshold": "tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1209de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that). Related metrics: tma_info_memory_latency, tma_l3_hit_latency",
1210de44486fSIan Rogers        "ScaleUnit": "100%"
1211de44486fSIan Rogers    },
1212de44486fSIan Rogers    {
1213de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
1214de44486fSIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_MEM_ANY + EXE_ACTIVITY.BOUND_ON_STORES) / (CYCLE_ACTIVITY.STALLS_TOTAL + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) + EXE_ACTIVITY.BOUND_ON_STORES) * tma_backend_bound",
1215de44486fSIan Rogers        "MetricGroup": "Backend;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
1216de44486fSIan Rogers        "MetricName": "tma_memory_bound",
1217de44486fSIan Rogers        "MetricThreshold": "tma_memory_bound > 0.2 & tma_backend_bound > 0.2",
1218*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1219de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
1220de44486fSIan Rogers        "ScaleUnit": "100%"
1221de44486fSIan Rogers    },
1222de44486fSIan Rogers    {
1223de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring memory operations -- uops for memory load or store accesses.",
1224de44486fSIan Rogers        "MetricExpr": "tma_light_operations * MEM_INST_RETIRED.ANY / INST_RETIRED.ANY",
1225de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1226de44486fSIan Rogers        "MetricName": "tma_memory_operations",
1227de44486fSIan Rogers        "MetricThreshold": "tma_memory_operations > 0.1 & tma_light_operations > 0.6",
1228de44486fSIan Rogers        "ScaleUnit": "100%"
1229de44486fSIan Rogers    },
1230de44486fSIan Rogers    {
1231de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
1232de44486fSIan Rogers        "MetricExpr": "tma_retiring * tma_info_slots / UOPS_ISSUED.ANY * IDQ.MS_UOPS / tma_info_slots",
1233de44486fSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueMC;tma_issueMS",
1234de44486fSIan Rogers        "MetricName": "tma_microcode_sequencer",
1235de44486fSIan Rogers        "MetricThreshold": "tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1",
1236de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_ms_switches",
1237de44486fSIan Rogers        "ScaleUnit": "100%"
1238de44486fSIan Rogers    },
1239de44486fSIan Rogers    {
1240de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage",
1241de44486fSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_clks",
1242de44486fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueBM",
1243de44486fSIan Rogers        "MetricName": "tma_mispredicts_resteers",
1244de44486fSIan Rogers        "MetricThreshold": "tma_mispredicts_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1245de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_branch_mispredicts, tma_info_branch_misprediction_cost, tma_info_mispredictions",
1246de44486fSIan Rogers        "ScaleUnit": "100%"
1247de44486fSIan Rogers    },
1248de44486fSIan Rogers    {
1249de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
1250de44486fSIan Rogers        "MetricExpr": "(IDQ.MITE_CYCLES_ANY - IDQ.MITE_CYCLES_OK) / tma_info_core_clks / 2",
1251de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
1252de44486fSIan Rogers        "MetricName": "tma_mite",
1253de44486fSIan Rogers        "MetricThreshold": "tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35)",
1254de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck. Sample with: FRONTEND_RETIRED.ANY_DSB_MISS",
1255de44486fSIan Rogers        "ScaleUnit": "100%"
1256de44486fSIan Rogers    },
1257de44486fSIan Rogers    {
1258de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where (only) 4 uops were delivered by the MITE pipeline",
1259de44486fSIan Rogers        "MetricExpr": "(cpu@IDQ.MITE_UOPS\\,cmask\\=4@ - cpu@IDQ.MITE_UOPS\\,cmask\\=5@) / tma_info_clks",
1260de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_mite_group",
1261de44486fSIan Rogers        "MetricName": "tma_mite_4wide",
1262de44486fSIan Rogers        "MetricThreshold": "tma_mite_4wide > 0.05 & (tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_ipc / 5 > 0.35))",
1263de44486fSIan Rogers        "ScaleUnit": "100%"
1264de44486fSIan Rogers    },
1265de44486fSIan Rogers    {
1266de44486fSIan Rogers        "BriefDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued",
1267de44486fSIan Rogers        "MetricExpr": "UOPS_ISSUED.VECTOR_WIDTH_MISMATCH / UOPS_ISSUED.ANY",
1268de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_issueMV;tma_ports_utilized_0_group",
1269de44486fSIan Rogers        "MetricName": "tma_mixing_vectors",
1270de44486fSIan Rogers        "MetricThreshold": "tma_mixing_vectors > 0.05",
1271de44486fSIan Rogers        "PublicDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued. Usually a Mixing_Vectors over 5% is worth investigating. Read more in Appendix B1 of the Optimizations Guide for this topic. Related metrics: tma_ms_switches",
1272de44486fSIan Rogers        "ScaleUnit": "100%"
1273de44486fSIan Rogers    },
1274de44486fSIan Rogers    {
1275de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
1276de44486fSIan Rogers        "MetricExpr": "3 * IDQ.MS_SWITCHES / tma_info_clks",
1277de44486fSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueMC;tma_issueMS;tma_issueMV;tma_issueSO",
1278de44486fSIan Rogers        "MetricName": "tma_ms_switches",
1279de44486fSIan Rogers        "MetricThreshold": "tma_ms_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1280de44486fSIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_mixing_vectors, tma_serializing_operation",
1281de44486fSIan Rogers        "ScaleUnit": "100%"
1282de44486fSIan Rogers    },
1283de44486fSIan Rogers    {
1284de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions",
1285de44486fSIan Rogers        "MetricExpr": "tma_light_operations * INST_RETIRED.NOP / (tma_retiring * tma_info_slots)",
1286de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1287de44486fSIan Rogers        "MetricName": "tma_nop_instructions",
1288de44486fSIan Rogers        "MetricThreshold": "tma_nop_instructions > 0.1 & tma_light_operations > 0.6",
1289de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions. Compilers often use NOPs for certain address alignments - e.g. start address of a function or loop body. Sample with: INST_RETIRED.NOP",
1290de44486fSIan Rogers        "ScaleUnit": "100%"
1291de44486fSIan Rogers    },
1292de44486fSIan Rogers    {
1293de44486fSIan Rogers        "BriefDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes",
1294de44486fSIan Rogers        "MetricExpr": "max(0, tma_light_operations - (tma_fp_arith + tma_memory_operations + tma_branch_instructions + tma_nop_instructions))",
1295de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1296de44486fSIan Rogers        "MetricName": "tma_other_light_ops",
1297de44486fSIan Rogers        "MetricThreshold": "tma_other_light_ops > 0.3 & tma_light_operations > 0.6",
1298de44486fSIan Rogers        "PublicDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes. May undercount due to FMA double counting",
1299de44486fSIan Rogers        "ScaleUnit": "100%"
1300de44486fSIan Rogers    },
1301de44486fSIan Rogers    {
1302de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch)",
1303de44486fSIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_0 / tma_info_core_clks",
1304de44486fSIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1305de44486fSIan Rogers        "MetricName": "tma_port_0",
1306de44486fSIan Rogers        "MetricThreshold": "tma_port_0 > 0.6",
1307de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch). Sample with: UOPS_DISPATCHED.PORT_0. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
1308de44486fSIan Rogers        "ScaleUnit": "100%"
1309de44486fSIan Rogers    },
1310de44486fSIan Rogers    {
1311de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU)",
1312de44486fSIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_1 / tma_info_core_clks",
1313de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1314de44486fSIan Rogers        "MetricName": "tma_port_1",
1315de44486fSIan Rogers        "MetricThreshold": "tma_port_1 > 0.6",
1316de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU). Sample with: UOPS_DISPATCHED.PORT_1. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_5, tma_port_6, tma_ports_utilized_2",
1317de44486fSIan Rogers        "ScaleUnit": "100%"
1318de44486fSIan Rogers    },
1319de44486fSIan Rogers    {
1320de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU)",
1321de44486fSIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_5 / tma_info_core_clks",
1322de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1323de44486fSIan Rogers        "MetricName": "tma_port_5",
1324de44486fSIan Rogers        "MetricThreshold": "tma_port_5 > 0.6",
1325de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU). Sample with: UOPS_DISPATCHED.PORT_5. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_6, tma_ports_utilized_2",
1326de44486fSIan Rogers        "ScaleUnit": "100%"
1327de44486fSIan Rogers    },
1328de44486fSIan Rogers    {
1329de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU)",
1330de44486fSIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_6 / tma_info_core_clks",
1331de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1332de44486fSIan Rogers        "MetricName": "tma_port_6",
1333de44486fSIan Rogers        "MetricThreshold": "tma_port_6 > 0.6",
1334de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU). Sample with: UOPS_DISPATCHED.PORT_6. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_ports_utilized_2",
1335de44486fSIan Rogers        "ScaleUnit": "100%"
1336de44486fSIan Rogers    },
1337de44486fSIan Rogers    {
1338de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
1339de44486fSIan Rogers        "MetricExpr": "((cpu@EXE_ACTIVITY.3_PORTS_UTIL\\,umask\\=0x80@ + tma_serializing_operation * (CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY) + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL)) / tma_info_clks if ARITH.DIVIDER_ACTIVE < CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY else (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_clks)",
1340de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group",
1341de44486fSIan Rogers        "MetricName": "tma_ports_utilization",
1342de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
1343de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
1344de44486fSIan Rogers        "ScaleUnit": "100%"
1345de44486fSIan Rogers    },
1346de44486fSIan Rogers    {
1347de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1348de44486fSIan Rogers        "MetricExpr": "cpu@EXE_ACTIVITY.3_PORTS_UTIL\\,umask\\=0x80@ / tma_info_clks + tma_serializing_operation * (CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY) / tma_info_clks",
1349de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
1350de44486fSIan Rogers        "MetricName": "tma_ports_utilized_0",
1351de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1352de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
1353de44486fSIan Rogers        "ScaleUnit": "100%"
1354de44486fSIan Rogers    },
1355de44486fSIan Rogers    {
1356de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1357de44486fSIan Rogers        "MetricExpr": "EXE_ACTIVITY.1_PORTS_UTIL / tma_info_clks",
1358de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issueL1;tma_ports_utilization_group",
1359de44486fSIan Rogers        "MetricName": "tma_ports_utilized_1",
1360de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_1 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1361de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful. Sample with: EXE_ACTIVITY.1_PORTS_UTIL. Related metrics: tma_l1_bound",
1362de44486fSIan Rogers        "ScaleUnit": "100%"
1363de44486fSIan Rogers    },
1364de44486fSIan Rogers    {
1365de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1366de44486fSIan Rogers        "MetricExpr": "EXE_ACTIVITY.2_PORTS_UTIL / tma_info_clks",
1367de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issue2P;tma_ports_utilization_group",
1368de44486fSIan Rogers        "MetricName": "tma_ports_utilized_2",
1369de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_2 > 0.15 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1370de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop. Sample with: EXE_ACTIVITY.2_PORTS_UTIL. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6",
1371de44486fSIan Rogers        "ScaleUnit": "100%"
1372de44486fSIan Rogers    },
1373de44486fSIan Rogers    {
1374de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1375de44486fSIan Rogers        "MetricExpr": "UOPS_EXECUTED.CYCLES_GE_3 / tma_info_clks",
1376de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
1377de44486fSIan Rogers        "MetricName": "tma_ports_utilized_3m",
1378de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_3m > 0.7 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1379de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). Sample with: UOPS_EXECUTED.CYCLES_GE_3",
1380de44486fSIan Rogers        "ScaleUnit": "100%"
1381de44486fSIan Rogers    },
1382de44486fSIan Rogers    {
1383de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
1384de44486fSIan Rogers        "MetricExpr": "topdown\\-retiring / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) + 0 * tma_info_slots",
1385de44486fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
1386de44486fSIan Rogers        "MetricName": "tma_retiring",
1387de44486fSIan Rogers        "MetricThreshold": "tma_retiring > 0.7 | tma_heavy_operations > 0.1",
1388*ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
1389de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided. Sample with: UOPS_RETIRED.SLOTS",
1390de44486fSIan Rogers        "ScaleUnit": "100%"
1391de44486fSIan Rogers    },
1392de44486fSIan Rogers    {
1393de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations",
1394de44486fSIan Rogers        "MetricExpr": "RESOURCE_STALLS.SCOREBOARD / tma_info_clks",
1395de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL5;tma_L5_group;tma_issueSO;tma_ports_utilized_0_group",
1396de44486fSIan Rogers        "MetricName": "tma_serializing_operation",
1397de44486fSIan Rogers        "MetricThreshold": "tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)))",
1398de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations. Instructions like CPUID; WRMSR or LFENCE serialize the out-of-order execution which may limit performance. Sample with: RESOURCE_STALLS.SCOREBOARD. Related metrics: tma_ms_switches",
1399de44486fSIan Rogers        "ScaleUnit": "100%"
1400de44486fSIan Rogers    },
1401de44486fSIan Rogers    {
1402de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions",
1403de44486fSIan Rogers        "MetricExpr": "140 * MISC_RETIRED.PAUSE_INST / tma_info_clks",
1404de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_serializing_operation_group",
1405de44486fSIan Rogers        "MetricName": "tma_slow_pause",
1406de44486fSIan Rogers        "MetricThreshold": "tma_slow_pause > 0.05 & (tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))))",
1407de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions. Sample with: MISC_RETIRED.PAUSE_INST",
1408de44486fSIan Rogers        "ScaleUnit": "100%"
1409de44486fSIan Rogers    },
1410de44486fSIan Rogers    {
1411de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
1412de44486fSIan Rogers        "MetricExpr": "tma_info_load_miss_real_latency * LD_BLOCKS.NO_SR / tma_info_clks",
1413de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
1414de44486fSIan Rogers        "MetricName": "tma_split_loads",
1415de44486fSIan Rogers        "MetricThreshold": "tma_split_loads > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1416de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary. Sample with: MEM_INST_RETIRED.SPLIT_LOADS_PS",
1417de44486fSIan Rogers        "ScaleUnit": "100%"
1418de44486fSIan Rogers    },
1419de44486fSIan Rogers    {
1420de44486fSIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
1421de44486fSIan Rogers        "MetricExpr": "MEM_INST_RETIRED.SPLIT_STORES / tma_info_core_clks",
1422de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_issueSpSt;tma_store_bound_group",
1423de44486fSIan Rogers        "MetricName": "tma_split_stores",
1424de44486fSIan Rogers        "MetricThreshold": "tma_split_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1425de44486fSIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_INST_RETIRED.SPLIT_STORES_PS. Related metrics: tma_port_4",
1426de44486fSIan Rogers        "ScaleUnit": "100%"
1427de44486fSIan Rogers    },
1428de44486fSIan Rogers    {
1429de44486fSIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
1430de44486fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.L2_STALL / tma_info_clks",
1431de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueBW;tma_l3_bound_group",
1432de44486fSIan Rogers        "MetricName": "tma_sq_full",
1433de44486fSIan Rogers        "MetricThreshold": "tma_sq_full > 0.3 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1434de44486fSIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). Related metrics: tma_fb_full, tma_info_dram_bw_use, tma_info_memory_bandwidth, tma_mem_bandwidth",
1435de44486fSIan Rogers        "ScaleUnit": "100%"
1436de44486fSIan Rogers    },
1437de44486fSIan Rogers    {
1438de44486fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
1439de44486fSIan Rogers        "MetricExpr": "EXE_ACTIVITY.BOUND_ON_STORES / tma_info_clks",
1440de44486fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1441de44486fSIan Rogers        "MetricName": "tma_store_bound",
1442de44486fSIan Rogers        "MetricThreshold": "tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1443de44486fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_INST_RETIRED.ALL_STORES_PS",
1444de44486fSIan Rogers        "ScaleUnit": "100%"
1445de44486fSIan Rogers    },
1446de44486fSIan Rogers    {
1447de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
1448de44486fSIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / tma_info_clks",
1449de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
1450de44486fSIan Rogers        "MetricName": "tma_store_fwd_blk",
1451de44486fSIan Rogers        "MetricThreshold": "tma_store_fwd_blk > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1452de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
1453de44486fSIan Rogers        "ScaleUnit": "100%"
1454de44486fSIan Rogers    },
1455de44486fSIan Rogers    {
1456de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
1457de44486fSIan Rogers        "MetricExpr": "(L2_RQSTS.RFO_HIT * 10 * (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) + (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / tma_info_clks",
1458de44486fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_issueSL;tma_store_bound_group",
1459de44486fSIan Rogers        "MetricName": "tma_store_latency",
1460de44486fSIan Rogers        "MetricThreshold": "tma_store_latency > 0.1 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1461de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full). Related metrics: tma_fb_full, tma_lock_latency",
1462de44486fSIan Rogers        "ScaleUnit": "100%"
1463de44486fSIan Rogers    },
1464de44486fSIan Rogers    {
1465de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
1466de44486fSIan Rogers        "MetricExpr": "(UOPS_DISPATCHED.PORT_4_9 + UOPS_DISPATCHED.PORT_7_8) / (4 * tma_info_core_clks)",
1467de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
1468de44486fSIan Rogers        "MetricName": "tma_store_op_utilization",
1469de44486fSIan Rogers        "MetricThreshold": "tma_store_op_utilization > 0.6",
1470de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations. Sample with: UOPS_DISPATCHED.PORT_7_8",
1471de44486fSIan Rogers        "ScaleUnit": "100%"
1472de44486fSIan Rogers    },
1473de44486fSIan Rogers    {
1474de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the TLB was missed by store accesses, hitting in the second-level TLB (STLB)",
1475de44486fSIan Rogers        "MetricExpr": "tma_dtlb_store - tma_store_stlb_miss",
1476de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
1477de44486fSIan Rogers        "MetricName": "tma_store_stlb_hit",
1478de44486fSIan Rogers        "MetricThreshold": "tma_store_stlb_hit > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1479de44486fSIan Rogers        "ScaleUnit": "100%"
1480de44486fSIan Rogers    },
1481de44486fSIan Rogers    {
1482de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the STLB was missed by store accesses, performing a hardware page walk",
1483de44486fSIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_ACTIVE / tma_info_core_clks",
1484de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
1485de44486fSIan Rogers        "MetricName": "tma_store_stlb_miss",
1486de44486fSIan Rogers        "MetricThreshold": "tma_store_stlb_miss > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1487de44486fSIan Rogers        "ScaleUnit": "100%"
1488de44486fSIan Rogers    },
1489de44486fSIan Rogers    {
1490de44486fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to Streaming store memory accesses; Streaming store optimize out a read request required by RFO stores",
1491de44486fSIan Rogers        "MetricExpr": "9 * OCR.STREAMING_WR.ANY_RESPONSE / tma_info_clks",
1492de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueSmSt;tma_store_bound_group",
1493de44486fSIan Rogers        "MetricName": "tma_streaming_stores",
1494de44486fSIan Rogers        "MetricThreshold": "tma_streaming_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1495de44486fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to Streaming store memory accesses; Streaming store optimize out a read request required by RFO stores. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should Streaming stores be a bottleneck. Sample with: OCR.STREAMING_WR.ANY_RESPONSE. Related metrics: tma_fb_full",
1496de44486fSIan Rogers        "ScaleUnit": "100%"
1497de44486fSIan Rogers    },
1498de44486fSIan Rogers    {
1499de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
1500de44486fSIan Rogers        "MetricExpr": "10 * BACLEARS.ANY / tma_info_clks",
1501de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;TopdownL4;tma_L4_group;tma_branch_resteers_group",
1502de44486fSIan Rogers        "MetricName": "tma_unknown_branches",
1503de44486fSIan Rogers        "MetricThreshold": "tma_unknown_branches > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1504de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (e.g. first time the branch is fetched or hitting BTB capacity limit). Sample with: BACLEARS.ANY",
1505de44486fSIan Rogers        "ScaleUnit": "100%"
1506de44486fSIan Rogers    },
1507de44486fSIan Rogers    {
1508de44486fSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
1509de44486fSIan Rogers        "MetricExpr": "tma_retiring * UOPS_EXECUTED.X87 / UOPS_EXECUTED.THREAD",
1510de44486fSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_L4_group;tma_fp_arith_group",
1511de44486fSIan Rogers        "MetricName": "tma_x87_use",
1512de44486fSIan Rogers        "MetricThreshold": "tma_x87_use > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
1513de44486fSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
1514de44486fSIan Rogers        "ScaleUnit": "100%"
1515de44486fSIan Rogers    },
1516de44486fSIan Rogers    {
1517de44486fSIan Rogers        "BriefDescription": "Percentage of cycles in aborted transactions.",
1518de44486fSIan Rogers        "MetricExpr": "max(cpu@cycles\\-t@ - cpu@cycles\\-ct@, 0) / cycles",
1519de44486fSIan Rogers        "MetricGroup": "transaction",
1520de44486fSIan Rogers        "MetricName": "tsx_aborted_cycles",
1521de44486fSIan Rogers        "ScaleUnit": "100%"
1522de44486fSIan Rogers    },
1523de44486fSIan Rogers    {
1524de44486fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of elisions.",
1525de44486fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cpu@el\\-start@",
1526de44486fSIan Rogers        "MetricGroup": "transaction",
1527de44486fSIan Rogers        "MetricName": "tsx_cycles_per_elision",
1528de44486fSIan Rogers        "ScaleUnit": "1cycles / elision"
1529de44486fSIan Rogers    },
1530de44486fSIan Rogers    {
1531de44486fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of transactions.",
1532de44486fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cpu@tx\\-start@",
1533de44486fSIan Rogers        "MetricGroup": "transaction",
1534de44486fSIan Rogers        "MetricName": "tsx_cycles_per_transaction",
1535de44486fSIan Rogers        "ScaleUnit": "1cycles / transaction"
1536de44486fSIan Rogers    },
1537de44486fSIan Rogers    {
1538de44486fSIan Rogers        "BriefDescription": "Percentage of cycles within a transaction region.",
1539de44486fSIan Rogers        "MetricExpr": "cpu@cycles\\-t@ / cycles",
1540de44486fSIan Rogers        "MetricGroup": "transaction",
1541de44486fSIan Rogers        "MetricName": "tsx_transactional_cycles",
154269f685e0SIan Rogers        "ScaleUnit": "100%"
1543b9efd75bSJin Yao    }
1544b9efd75bSJin Yao]
1545