1b9efd75bSJin Yao[
2b9efd75bSJin Yao    {
3de44486fSIan Rogers        "BriefDescription": "C10 residency percent per package",
4de44486fSIan Rogers        "MetricExpr": "cstate_pkg@c10\\-residency@ / TSC",
5b9efd75bSJin Yao        "MetricGroup": "Power",
6de44486fSIan Rogers        "MetricName": "C10_Pkg_Residency",
769f685e0SIan Rogers        "ScaleUnit": "100%"
8b9efd75bSJin Yao    },
9b9efd75bSJin Yao    {
105e1dd4f2SIan Rogers        "BriefDescription": "C2 residency percent per package",
1169f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c2\\-residency@ / TSC",
125e1dd4f2SIan Rogers        "MetricGroup": "Power",
1369f685e0SIan Rogers        "MetricName": "C2_Pkg_Residency",
1469f685e0SIan Rogers        "ScaleUnit": "100%"
155e1dd4f2SIan Rogers    },
165e1dd4f2SIan Rogers    {
175e1dd4f2SIan Rogers        "BriefDescription": "C3 residency percent per package",
1869f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c3\\-residency@ / TSC",
195e1dd4f2SIan Rogers        "MetricGroup": "Power",
2069f685e0SIan Rogers        "MetricName": "C3_Pkg_Residency",
2169f685e0SIan Rogers        "ScaleUnit": "100%"
225e1dd4f2SIan Rogers    },
235e1dd4f2SIan Rogers    {
24de44486fSIan Rogers        "BriefDescription": "C6 residency percent per core",
25de44486fSIan Rogers        "MetricExpr": "cstate_core@c6\\-residency@ / TSC",
26de44486fSIan Rogers        "MetricGroup": "Power",
27de44486fSIan Rogers        "MetricName": "C6_Core_Residency",
28de44486fSIan Rogers        "ScaleUnit": "100%"
29de44486fSIan Rogers    },
30de44486fSIan Rogers    {
31b9efd75bSJin Yao        "BriefDescription": "C6 residency percent per package",
3269f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c6\\-residency@ / TSC",
33b9efd75bSJin Yao        "MetricGroup": "Power",
3469f685e0SIan Rogers        "MetricName": "C6_Pkg_Residency",
3569f685e0SIan Rogers        "ScaleUnit": "100%"
36b9efd75bSJin Yao    },
37b9efd75bSJin Yao    {
38de44486fSIan Rogers        "BriefDescription": "C7 residency percent per core",
39de44486fSIan Rogers        "MetricExpr": "cstate_core@c7\\-residency@ / TSC",
40de44486fSIan Rogers        "MetricGroup": "Power",
41de44486fSIan Rogers        "MetricName": "C7_Core_Residency",
42de44486fSIan Rogers        "ScaleUnit": "100%"
43de44486fSIan Rogers    },
44de44486fSIan Rogers    {
45b9efd75bSJin Yao        "BriefDescription": "C7 residency percent per package",
4669f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c7\\-residency@ / TSC",
47b9efd75bSJin Yao        "MetricGroup": "Power",
4869f685e0SIan Rogers        "MetricName": "C7_Pkg_Residency",
4969f685e0SIan Rogers        "ScaleUnit": "100%"
505e1dd4f2SIan Rogers    },
515e1dd4f2SIan Rogers    {
525e1dd4f2SIan Rogers        "BriefDescription": "C8 residency percent per package",
5369f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c8\\-residency@ / TSC",
545e1dd4f2SIan Rogers        "MetricGroup": "Power",
5569f685e0SIan Rogers        "MetricName": "C8_Pkg_Residency",
5669f685e0SIan Rogers        "ScaleUnit": "100%"
575e1dd4f2SIan Rogers    },
585e1dd4f2SIan Rogers    {
595e1dd4f2SIan Rogers        "BriefDescription": "C9 residency percent per package",
6069f685e0SIan Rogers        "MetricExpr": "cstate_pkg@c9\\-residency@ / TSC",
615e1dd4f2SIan Rogers        "MetricGroup": "Power",
6269f685e0SIan Rogers        "MetricName": "C9_Pkg_Residency",
6369f685e0SIan Rogers        "ScaleUnit": "100%"
645e1dd4f2SIan Rogers    },
655e1dd4f2SIan Rogers    {
66de44486fSIan Rogers        "BriefDescription": "Percentage of cycles spent in System Management Interrupts.",
67de44486fSIan Rogers        "MetricExpr": "((msr@aperf@ - cycles) / msr@aperf@ if msr@smi@ > 0 else 0)",
68de44486fSIan Rogers        "MetricGroup": "smi",
69de44486fSIan Rogers        "MetricName": "smi_cycles",
70de44486fSIan Rogers        "MetricThreshold": "smi_cycles > 0.1",
71de44486fSIan Rogers        "ScaleUnit": "100%"
72de44486fSIan Rogers    },
73de44486fSIan Rogers    {
74de44486fSIan Rogers        "BriefDescription": "Number of SMI interrupts.",
75de44486fSIan Rogers        "MetricExpr": "msr@smi@",
76de44486fSIan Rogers        "MetricGroup": "smi",
77de44486fSIan Rogers        "MetricName": "smi_num",
78de44486fSIan Rogers        "ScaleUnit": "1SMI#"
79de44486fSIan Rogers    },
80de44486fSIan Rogers    {
81de44486fSIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
82*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
83bc4e4121SIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / tma_info_thread_clks",
84de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
85de44486fSIan Rogers        "MetricName": "tma_4k_aliasing",
86de44486fSIan Rogers        "MetricThreshold": "tma_4k_aliasing > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
87de44486fSIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
88de44486fSIan Rogers        "ScaleUnit": "100%"
89de44486fSIan Rogers    },
90de44486fSIan Rogers    {
91de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
92bc4e4121SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED.PORT_0 + UOPS_DISPATCHED.PORT_1 + UOPS_DISPATCHED.PORT_5 + UOPS_DISPATCHED.PORT_6) / (4 * tma_info_core_core_clks)",
93de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
94de44486fSIan Rogers        "MetricName": "tma_alu_op_utilization",
95de44486fSIan Rogers        "MetricThreshold": "tma_alu_op_utilization > 0.6",
96de44486fSIan Rogers        "ScaleUnit": "100%"
97de44486fSIan Rogers    },
98de44486fSIan Rogers    {
99de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
100bc4e4121SIan Rogers        "MetricExpr": "100 * ASSISTS.ANY / tma_info_thread_slots",
101de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
102de44486fSIan Rogers        "MetricName": "tma_assists",
103de44486fSIan Rogers        "MetricThreshold": "tma_assists > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
104de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: ASSISTS.ANY",
105de44486fSIan Rogers        "ScaleUnit": "100%"
106de44486fSIan Rogers    },
107de44486fSIan Rogers    {
108de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
109969a4661SKan Liang        "DefaultMetricgroupName": "TopdownL1",
110bc4e4121SIan Rogers        "MetricExpr": "topdown\\-be\\-bound / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) + 5 * cpu@INT_MISC.RECOVERY_CYCLES\\,cmask\\=1\\,edge@ / tma_info_thread_slots",
111969a4661SKan Liang        "MetricGroup": "Default;TmaL1;TopdownL1;tma_L1_group",
112de44486fSIan Rogers        "MetricName": "tma_backend_bound",
113de44486fSIan Rogers        "MetricThreshold": "tma_backend_bound > 0.2",
114969a4661SKan Liang        "MetricgroupNoGroup": "TopdownL1;Default",
115de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound. Sample with: TOPDOWN.BACKEND_BOUND_SLOTS",
116de44486fSIan Rogers        "ScaleUnit": "100%"
117de44486fSIan Rogers    },
118de44486fSIan Rogers    {
119de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
120969a4661SKan Liang        "DefaultMetricgroupName": "TopdownL1",
121de44486fSIan Rogers        "MetricExpr": "max(1 - (tma_frontend_bound + tma_backend_bound + tma_retiring), 0)",
122969a4661SKan Liang        "MetricGroup": "Default;TmaL1;TopdownL1;tma_L1_group",
123de44486fSIan Rogers        "MetricName": "tma_bad_speculation",
124de44486fSIan Rogers        "MetricThreshold": "tma_bad_speculation > 0.15",
125969a4661SKan Liang        "MetricgroupNoGroup": "TopdownL1;Default",
126de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
127de44486fSIan Rogers        "ScaleUnit": "100%"
128de44486fSIan Rogers    },
129de44486fSIan Rogers    {
130de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions.",
131bc4e4121SIan Rogers        "MetricExpr": "tma_light_operations * BR_INST_RETIRED.ALL_BRANCHES / (tma_retiring * tma_info_thread_slots)",
132de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
133de44486fSIan Rogers        "MetricName": "tma_branch_instructions",
134de44486fSIan Rogers        "MetricThreshold": "tma_branch_instructions > 0.1 & tma_light_operations > 0.6",
135de44486fSIan Rogers        "ScaleUnit": "100%"
136de44486fSIan Rogers    },
137de44486fSIan Rogers    {
138de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
139de44486fSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * tma_bad_speculation",
140de44486fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueBM",
141de44486fSIan Rogers        "MetricName": "tma_branch_mispredicts",
142de44486fSIan Rogers        "MetricThreshold": "tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15",
143ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
144bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES. Related metrics: tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers",
145de44486fSIan Rogers        "ScaleUnit": "100%"
146de44486fSIan Rogers    },
147de44486fSIan Rogers    {
148de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
149bc4e4121SIan Rogers        "MetricExpr": "INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks + tma_unknown_branches",
150de44486fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group",
151de44486fSIan Rogers        "MetricName": "tma_branch_resteers",
152de44486fSIan Rogers        "MetricThreshold": "tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
153de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
154de44486fSIan Rogers        "ScaleUnit": "100%"
155de44486fSIan Rogers    },
156de44486fSIan Rogers    {
157de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
158de44486fSIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
159de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
160de44486fSIan Rogers        "MetricName": "tma_cisc",
161de44486fSIan Rogers        "MetricThreshold": "tma_cisc > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
162de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
163de44486fSIan Rogers        "ScaleUnit": "100%"
164de44486fSIan Rogers    },
165de44486fSIan Rogers    {
166de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears",
167bc4e4121SIan Rogers        "MetricExpr": "(1 - BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
168de44486fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueMC",
169de44486fSIan Rogers        "MetricName": "tma_clears_resteers",
170de44486fSIan Rogers        "MetricThreshold": "tma_clears_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
171de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches",
172de44486fSIan Rogers        "ScaleUnit": "100%"
173de44486fSIan Rogers    },
174de44486fSIan Rogers    {
175de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
176de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
177bc4e4121SIan Rogers        "MetricExpr": "(49 * tma_info_system_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD * (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM / (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM + OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD))) + 48 * tma_info_system_average_frequency * MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
178de44486fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
179de44486fSIan Rogers        "MetricName": "tma_contested_accesses",
180de44486fSIan Rogers        "MetricThreshold": "tma_contested_accesses > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
181de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS. Related metrics: tma_data_sharing, tma_false_sharing, tma_machine_clears, tma_remote_cache",
182de44486fSIan Rogers        "ScaleUnit": "100%"
183de44486fSIan Rogers    },
184de44486fSIan Rogers    {
185de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
186de44486fSIan Rogers        "MetricExpr": "max(0, tma_backend_bound - tma_memory_bound)",
187de44486fSIan Rogers        "MetricGroup": "Backend;Compute;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
188de44486fSIan Rogers        "MetricName": "tma_core_bound",
189de44486fSIan Rogers        "MetricThreshold": "tma_core_bound > 0.1 & tma_backend_bound > 0.2",
190ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
191de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
192de44486fSIan Rogers        "ScaleUnit": "100%"
193de44486fSIan Rogers    },
194de44486fSIan Rogers    {
195de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
196de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
197bc4e4121SIan Rogers        "MetricExpr": "48 * tma_info_system_average_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_NO_FWD + MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD * (1 - OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM / (OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM + OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD))) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
198de44486fSIan Rogers        "MetricGroup": "Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
199de44486fSIan Rogers        "MetricName": "tma_data_sharing",
200de44486fSIan Rogers        "MetricThreshold": "tma_data_sharing > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
201de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_NO_FWD. Related metrics: tma_contested_accesses, tma_false_sharing, tma_machine_clears, tma_remote_cache",
202de44486fSIan Rogers        "ScaleUnit": "100%"
203de44486fSIan Rogers    },
204de44486fSIan Rogers    {
205de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder",
206bc4e4121SIan Rogers        "MetricExpr": "(cpu@INST_DECODED.DECODERS\\,cmask\\=1@ - cpu@INST_DECODED.DECODERS\\,cmask\\=2@) / tma_info_core_core_clks / 2",
207de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_issueD0;tma_mite_group",
208de44486fSIan Rogers        "MetricName": "tma_decoder0_alone",
209bc4e4121SIan Rogers        "MetricThreshold": "tma_decoder0_alone > 0.1 & (tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35))",
210de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder. Related metrics: tma_few_uops_instructions",
211de44486fSIan Rogers        "ScaleUnit": "100%"
212de44486fSIan Rogers    },
213de44486fSIan Rogers    {
214de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
215bc4e4121SIan Rogers        "MetricExpr": "ARITH.DIVIDER_ACTIVE / tma_info_thread_clks",
216de44486fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_core_bound_group",
217de44486fSIan Rogers        "MetricName": "tma_divider",
218de44486fSIan Rogers        "MetricThreshold": "tma_divider > 0.2 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
219de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_ACTIVE",
220de44486fSIan Rogers        "ScaleUnit": "100%"
221de44486fSIan Rogers    },
222de44486fSIan Rogers    {
223de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
224de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
225bc4e4121SIan Rogers        "MetricExpr": "CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound",
226de44486fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
227de44486fSIan Rogers        "MetricName": "tma_dram_bound",
228de44486fSIan Rogers        "MetricThreshold": "tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
229de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_MISS_PS",
230de44486fSIan Rogers        "ScaleUnit": "100%"
231de44486fSIan Rogers    },
232de44486fSIan Rogers    {
233de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
234bc4e4121SIan Rogers        "MetricExpr": "(IDQ.DSB_CYCLES_ANY - IDQ.DSB_CYCLES_OK) / tma_info_core_core_clks / 2",
235de44486fSIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
236de44486fSIan Rogers        "MetricName": "tma_dsb",
237bc4e4121SIan Rogers        "MetricThreshold": "tma_dsb > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35)",
238de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
239de44486fSIan Rogers        "ScaleUnit": "100%"
240de44486fSIan Rogers    },
241de44486fSIan Rogers    {
242de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
243bc4e4121SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / tma_info_thread_clks",
244de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
245de44486fSIan Rogers        "MetricName": "tma_dsb_switches",
246de44486fSIan Rogers        "MetricThreshold": "tma_dsb_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
247bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty. Sample with: FRONTEND_RETIRED.DSB_MISS_PS. Related metrics: tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
248de44486fSIan Rogers        "ScaleUnit": "100%"
249de44486fSIan Rogers    },
250de44486fSIan Rogers    {
251de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
252bc4e4121SIan Rogers        "MetricExpr": "min(7 * cpu@DTLB_LOAD_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_LOAD_MISSES.WALK_ACTIVE, max(CYCLE_ACTIVITY.CYCLES_MEM_ANY - CYCLE_ACTIVITY.CYCLES_L1D_MISS, 0)) / tma_info_thread_clks",
253de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_l1_bound_group",
254de44486fSIan Rogers        "MetricName": "tma_dtlb_load",
255de44486fSIan Rogers        "MetricThreshold": "tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
256bc4e4121SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_INST_RETIRED.STLB_MISS_LOADS_PS. Related metrics: tma_dtlb_store, tma_info_bottleneck_memory_data_tlbs",
257de44486fSIan Rogers        "ScaleUnit": "100%"
258de44486fSIan Rogers    },
259de44486fSIan Rogers    {
260de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
261bc4e4121SIan Rogers        "MetricExpr": "(7 * cpu@DTLB_STORE_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_STORE_MISSES.WALK_ACTIVE) / tma_info_core_core_clks",
262de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_store_bound_group",
263de44486fSIan Rogers        "MetricName": "tma_dtlb_store",
264de44486fSIan Rogers        "MetricThreshold": "tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
265bc4e4121SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_INST_RETIRED.STLB_MISS_STORES_PS. Related metrics: tma_dtlb_load, tma_info_bottleneck_memory_data_tlbs",
266de44486fSIan Rogers        "ScaleUnit": "100%"
267de44486fSIan Rogers    },
268de44486fSIan Rogers    {
269de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
270bc4e4121SIan Rogers        "MetricExpr": "54 * tma_info_system_average_frequency * OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM / tma_info_thread_clks",
271de44486fSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_store_bound_group",
272de44486fSIan Rogers        "MetricName": "tma_false_sharing",
273de44486fSIan Rogers        "MetricThreshold": "tma_false_sharing > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
274de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line. Sample with: OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM. Related metrics: tma_contested_accesses, tma_data_sharing, tma_machine_clears, tma_remote_cache",
275de44486fSIan Rogers        "ScaleUnit": "100%"
276de44486fSIan Rogers    },
277de44486fSIan Rogers    {
278de44486fSIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
279bc4e4121SIan Rogers        "MetricExpr": "L1D_PEND_MISS.FB_FULL / tma_info_thread_clks",
280de44486fSIan Rogers        "MetricGroup": "MemoryBW;TopdownL4;tma_L4_group;tma_issueBW;tma_issueSL;tma_issueSmSt;tma_l1_bound_group",
281de44486fSIan Rogers        "MetricName": "tma_fb_full",
282de44486fSIan Rogers        "MetricThreshold": "tma_fb_full > 0.3",
283bc4e4121SIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory). Related metrics: tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full, tma_store_latency, tma_streaming_stores",
284de44486fSIan Rogers        "ScaleUnit": "100%"
285de44486fSIan Rogers    },
286de44486fSIan Rogers    {
287de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
288de44486fSIan Rogers        "MetricExpr": "max(0, tma_frontend_bound - tma_fetch_latency)",
289de44486fSIan Rogers        "MetricGroup": "FetchBW;Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group;tma_issueFB",
290de44486fSIan Rogers        "MetricName": "tma_fetch_bandwidth",
291bc4e4121SIan Rogers        "MetricThreshold": "tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35",
292ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
293bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend. Sample with: FRONTEND_RETIRED.LATENCY_GE_2_BUBBLES_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_2_PS. Related metrics: tma_dsb_switches, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
294de44486fSIan Rogers        "ScaleUnit": "100%"
295de44486fSIan Rogers    },
296de44486fSIan Rogers    {
297de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
298bc4e4121SIan Rogers        "MetricExpr": "(5 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE - INT_MISC.UOP_DROPPING) / tma_info_thread_slots",
299de44486fSIan Rogers        "MetricGroup": "Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group",
300de44486fSIan Rogers        "MetricName": "tma_fetch_latency",
301de44486fSIan Rogers        "MetricThreshold": "tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15",
302ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
303de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: FRONTEND_RETIRED.LATENCY_GE_16_PS;FRONTEND_RETIRED.LATENCY_GE_8_PS",
304de44486fSIan Rogers        "ScaleUnit": "100%"
305de44486fSIan Rogers    },
306de44486fSIan Rogers    {
307de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops",
308de44486fSIan Rogers        "MetricExpr": "tma_heavy_operations - tma_microcode_sequencer",
309de44486fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueD0",
310de44486fSIan Rogers        "MetricName": "tma_few_uops_instructions",
311de44486fSIan Rogers        "MetricThreshold": "tma_few_uops_instructions > 0.05 & tma_heavy_operations > 0.1",
312de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops. This highly-correlates with the number of uops in such instructions. Related metrics: tma_decoder0_alone",
313de44486fSIan Rogers        "ScaleUnit": "100%"
314de44486fSIan Rogers    },
315de44486fSIan Rogers    {
316de44486fSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
317de44486fSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
318de44486fSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_L3_group;tma_light_operations_group",
319de44486fSIan Rogers        "MetricName": "tma_fp_arith",
320de44486fSIan Rogers        "MetricThreshold": "tma_fp_arith > 0.2 & tma_light_operations > 0.6",
321de44486fSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
322de44486fSIan Rogers        "ScaleUnit": "100%"
323de44486fSIan Rogers    },
324de44486fSIan Rogers    {
325de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
326bc4e4121SIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ / (tma_retiring * tma_info_thread_slots)",
327de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
328de44486fSIan Rogers        "MetricName": "tma_fp_scalar",
329de44486fSIan Rogers        "MetricThreshold": "tma_fp_scalar > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
330de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting. Related metrics: tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
331de44486fSIan Rogers        "ScaleUnit": "100%"
332de44486fSIan Rogers    },
333de44486fSIan Rogers    {
334de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
335bc4e4121SIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@ / (tma_retiring * tma_info_thread_slots)",
336de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
337de44486fSIan Rogers        "MetricName": "tma_fp_vector",
338de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
339de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
340de44486fSIan Rogers        "ScaleUnit": "100%"
341de44486fSIan Rogers    },
342de44486fSIan Rogers    {
343de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
344bc4e4121SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / (tma_retiring * tma_info_thread_slots)",
345de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
346de44486fSIan Rogers        "MetricName": "tma_fp_vector_128b",
347de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_128b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
348de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
349de44486fSIan Rogers        "ScaleUnit": "100%"
350de44486fSIan Rogers    },
351de44486fSIan Rogers    {
352de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
353bc4e4121SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / (tma_retiring * tma_info_thread_slots)",
354de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
355de44486fSIan Rogers        "MetricName": "tma_fp_vector_256b",
356de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_256b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
357de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
358de44486fSIan Rogers        "ScaleUnit": "100%"
359de44486fSIan Rogers    },
360de44486fSIan Rogers    {
361de44486fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors",
362bc4e4121SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / (tma_retiring * tma_info_thread_slots)",
363de44486fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
364de44486fSIan Rogers        "MetricName": "tma_fp_vector_512b",
365de44486fSIan Rogers        "MetricThreshold": "tma_fp_vector_512b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
366de44486fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
367de44486fSIan Rogers        "ScaleUnit": "100%"
368de44486fSIan Rogers    },
369de44486fSIan Rogers    {
370de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
371969a4661SKan Liang        "DefaultMetricgroupName": "TopdownL1",
372bc4e4121SIan Rogers        "MetricExpr": "topdown\\-fe\\-bound / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) - INT_MISC.UOP_DROPPING / tma_info_thread_slots",
373969a4661SKan Liang        "MetricGroup": "Default;PGO;TmaL1;TopdownL1;tma_L1_group",
374de44486fSIan Rogers        "MetricName": "tma_frontend_bound",
375de44486fSIan Rogers        "MetricThreshold": "tma_frontend_bound > 0.15",
376969a4661SKan Liang        "MetricgroupNoGroup": "TopdownL1;Default",
377de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Pipeline_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound. Sample with: FRONTEND_RETIRED.LATENCY_GE_4_PS",
378de44486fSIan Rogers        "ScaleUnit": "100%"
379de44486fSIan Rogers    },
380de44486fSIan Rogers    {
381de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences",
382de44486fSIan Rogers        "MetricExpr": "tma_microcode_sequencer + tma_retiring * (UOPS_DECODED.DEC0 - cpu@UOPS_DECODED.DEC0\\,cmask\\=1@) / IDQ.MITE_UOPS",
383de44486fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
384de44486fSIan Rogers        "MetricName": "tma_heavy_operations",
385de44486fSIan Rogers        "MetricThreshold": "tma_heavy_operations > 0.1",
386ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
387de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences. This highly-correlates with the uop length of these instructions/sequences.",
388de44486fSIan Rogers        "ScaleUnit": "100%"
389de44486fSIan Rogers    },
390de44486fSIan Rogers    {
391de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses",
392bc4e4121SIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / tma_info_thread_clks",
393de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;IcMiss;TopdownL3;tma_L3_group;tma_fetch_latency_group",
394de44486fSIan Rogers        "MetricName": "tma_icache_misses",
395de44486fSIan Rogers        "MetricThreshold": "tma_icache_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
396de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses. Sample with: FRONTEND_RETIRED.L2_MISS_PS;FRONTEND_RETIRED.L1I_MISS_PS",
397de44486fSIan Rogers        "ScaleUnit": "100%"
398de44486fSIan Rogers    },
399de44486fSIan Rogers    {
400de44486fSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
401cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
402bc4e4121SIan Rogers        "MetricExpr": "(tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) * tma_info_thread_slots / BR_MISP_RETIRED.ALL_BRANCHES",
403de44486fSIan Rogers        "MetricGroup": "Bad;BrMispredicts;tma_issueBM",
404bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_branch_misprediction_cost",
405bc4e4121SIan Rogers        "PublicDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear). Related metrics: tma_branch_mispredicts, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers"
406de44486fSIan Rogers    },
407de44486fSIan Rogers    {
408bc4e4121SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for conditional non-taken branches (lower number means higher occurrence rate).",
409bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.COND_NTAKEN",
410bc4e4121SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
411bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_cond_ntaken",
412bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_cond_ntaken < 200"
413de44486fSIan Rogers    },
414de44486fSIan Rogers    {
415bc4e4121SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for conditional taken branches (lower number means higher occurrence rate).",
416bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.COND_TAKEN",
417bc4e4121SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
418bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_cond_taken",
419bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_cond_taken < 200"
420de44486fSIan Rogers    },
421de44486fSIan Rogers    {
422bc4e4121SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for indirect CALL or JMP branches (lower number means higher occurrence rate).",
423bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.INDIRECT",
424bc4e4121SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
425bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_indirect",
426bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_indirect < 1e3"
427de44486fSIan Rogers    },
428de44486fSIan Rogers    {
429bc4e4121SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for return branches (lower number means higher occurrence rate).",
430bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.RET",
431bc4e4121SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
432bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_ret",
433bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_ret < 500"
434de44486fSIan Rogers    },
435de44486fSIan Rogers    {
436bc4e4121SIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
437bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
438bc4e4121SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
439bc4e4121SIan Rogers        "MetricName": "tma_info_bad_spec_ipmispredict",
440bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmispredict < 200"
441de44486fSIan Rogers    },
442de44486fSIan Rogers    {
443de44486fSIan Rogers        "BriefDescription": "Probability of Core Bound bottleneck hidden by SMT-profiling artifacts",
444cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
445bc4e4121SIan Rogers        "MetricExpr": "(100 * (1 - tma_core_bound / tma_ports_utilization if tma_core_bound < tma_ports_utilization else 1) if tma_info_system_smt_2t_utilization > 0.5 else 0)",
446de44486fSIan Rogers        "MetricGroup": "Cor;SMT",
447bc4e4121SIan Rogers        "MetricName": "tma_info_botlnk_l0_core_bound_likely",
448bc4e4121SIan Rogers        "MetricThreshold": "tma_info_botlnk_l0_core_bound_likely > 0.5"
449de44486fSIan Rogers    },
450de44486fSIan Rogers    {
451de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck",
452cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
453de44486fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_dsb_switches / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) + tma_fetch_bandwidth * tma_mite / (tma_dsb + tma_lsd + tma_mite))",
454de44486fSIan Rogers        "MetricGroup": "DSBmiss;Fed;tma_issueFB",
455bc4e4121SIan Rogers        "MetricName": "tma_info_botlnk_l2_dsb_misses",
456bc4e4121SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_dsb_misses > 10",
457bc4e4121SIan Rogers        "PublicDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp"
458de44486fSIan Rogers    },
459de44486fSIan Rogers    {
460de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck",
461*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
462de44486fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_icache_misses / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
463de44486fSIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss;tma_issueFL",
464bc4e4121SIan Rogers        "MetricName": "tma_info_botlnk_l2_ic_misses",
465bc4e4121SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_ic_misses > 5",
466de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck. Related metrics: "
467de44486fSIan Rogers    },
468de44486fSIan Rogers    {
469bc4e4121SIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses)",
470bc4e4121SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
471bc4e4121SIan Rogers        "MetricExpr": "100 * tma_fetch_latency * (tma_itlb_misses + tma_icache_misses + tma_unknown_branches) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)",
472bc4e4121SIan Rogers        "MetricGroup": "BigFoot;Fed;Frontend;IcMiss;MemoryTLB;tma_issueBC",
473bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_big_code",
474bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_big_code > 20",
475bc4e4121SIan Rogers        "PublicDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses). Related metrics: tma_info_bottleneck_branching_overhead"
476de44486fSIan Rogers    },
477de44486fSIan Rogers    {
478bc4e4121SIan Rogers        "BriefDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls)",
479bc4e4121SIan Rogers        "MetricExpr": "100 * ((BR_INST_RETIRED.COND + 3 * BR_INST_RETIRED.NEAR_CALL + (BR_INST_RETIRED.NEAR_TAKEN - BR_INST_RETIRED.COND_TAKEN - 2 * BR_INST_RETIRED.NEAR_CALL)) / tma_info_thread_slots)",
480bc4e4121SIan Rogers        "MetricGroup": "Ret;tma_issueBC",
481bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_branching_overhead",
482bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_branching_overhead > 10",
483bc4e4121SIan Rogers        "PublicDescription": "Total pipeline cost of branch related instructions (used for program control-flow including function calls). Related metrics: tma_info_bottleneck_big_code"
484de44486fSIan Rogers    },
485de44486fSIan Rogers    {
486de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch bandwidth related bottlenecks",
487cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
488bc4e4121SIan Rogers        "MetricExpr": "100 * (tma_frontend_bound - tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) - tma_info_bottleneck_big_code",
489de44486fSIan Rogers        "MetricGroup": "Fed;FetchBW;Frontend",
490bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_instruction_fetch_bw",
491bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_instruction_fetch_bw > 20"
492de44486fSIan Rogers    },
493de44486fSIan Rogers    {
494de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks",
495*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
496de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_mem_bandwidth / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_sq_full / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full))) + tma_l1_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_fb_full / (tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk))",
497de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore;tma_issueBW",
498bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_memory_bandwidth",
499bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_bandwidth > 20",
500bc4e4121SIan Rogers        "PublicDescription": "Total pipeline cost of (external) Memory Bandwidth related bottlenecks. Related metrics: tma_fb_full, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full"
501de44486fSIan Rogers    },
502de44486fSIan Rogers    {
503de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs)",
504cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
505de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_l1_bound / max(tma_memory_bound, tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_dtlb_load / max(tma_l1_bound, tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)) + tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_dtlb_store / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency + tma_streaming_stores)))",
506de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB;Offcore;tma_issueTLB",
507bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_memory_data_tlbs",
508bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_data_tlbs > 20",
509de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs). Related metrics: tma_dtlb_load, tma_dtlb_store"
510de44486fSIan Rogers    },
511de44486fSIan Rogers    {
512de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches)",
513cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
514de44486fSIan Rogers        "MetricExpr": "100 * tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_mem_latency / (tma_mem_bandwidth + tma_mem_latency)) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound) * (tma_l3_hit_latency / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full)) + tma_l2_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_store_bound))",
515de44486fSIan Rogers        "MetricGroup": "Mem;MemoryLat;Offcore;tma_issueLat",
516bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_memory_latency",
517bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_latency > 20",
518de44486fSIan Rogers        "PublicDescription": "Total pipeline cost of Memory Latency related bottlenecks (external memory and off-core caches). Related metrics: tma_l3_hit_latency, tma_mem_latency"
519de44486fSIan Rogers    },
520de44486fSIan Rogers    {
521de44486fSIan Rogers        "BriefDescription": "Total pipeline cost of Branch Misprediction related bottlenecks",
522cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
523de44486fSIan Rogers        "MetricExpr": "100 * (tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
524de44486fSIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts;tma_issueBM",
525bc4e4121SIan Rogers        "MetricName": "tma_info_bottleneck_mispredictions",
526bc4e4121SIan Rogers        "MetricThreshold": "tma_info_bottleneck_mispredictions > 20",
527bc4e4121SIan Rogers        "PublicDescription": "Total pipeline cost of Branch Misprediction related bottlenecks. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_mispredicts_resteers"
528bc4e4121SIan Rogers    },
529bc4e4121SIan Rogers    {
530bc4e4121SIan Rogers        "BriefDescription": "Fraction of branches that are CALL or RET",
531bc4e4121SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_CALL + BR_INST_RETIRED.NEAR_RETURN) / BR_INST_RETIRED.ALL_BRANCHES",
532bc4e4121SIan Rogers        "MetricGroup": "Bad;Branches",
533bc4e4121SIan Rogers        "MetricName": "tma_info_branches_callret"
534bc4e4121SIan Rogers    },
535bc4e4121SIan Rogers    {
536bc4e4121SIan Rogers        "BriefDescription": "Fraction of branches that are non-taken conditionals",
537bc4e4121SIan Rogers        "MetricExpr": "BR_INST_RETIRED.COND_NTAKEN / BR_INST_RETIRED.ALL_BRANCHES",
538bc4e4121SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
539bc4e4121SIan Rogers        "MetricName": "tma_info_branches_cond_nt"
540bc4e4121SIan Rogers    },
541bc4e4121SIan Rogers    {
542bc4e4121SIan Rogers        "BriefDescription": "Fraction of branches that are taken conditionals",
543bc4e4121SIan Rogers        "MetricExpr": "BR_INST_RETIRED.COND_TAKEN / BR_INST_RETIRED.ALL_BRANCHES",
544bc4e4121SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
545bc4e4121SIan Rogers        "MetricName": "tma_info_branches_cond_tk"
546bc4e4121SIan Rogers    },
547bc4e4121SIan Rogers    {
548bc4e4121SIan Rogers        "BriefDescription": "Fraction of branches that are unconditional (direct or indirect) jumps",
549bc4e4121SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_TAKEN - BR_INST_RETIRED.COND_TAKEN - 2 * BR_INST_RETIRED.NEAR_CALL) / BR_INST_RETIRED.ALL_BRANCHES",
550bc4e4121SIan Rogers        "MetricGroup": "Bad;Branches",
551bc4e4121SIan Rogers        "MetricName": "tma_info_branches_jump"
552bc4e4121SIan Rogers    },
553bc4e4121SIan Rogers    {
554bc4e4121SIan Rogers        "BriefDescription": "Fraction of branches of other types (not individually covered by other metrics in Info.Branches group)",
555bc4e4121SIan Rogers        "MetricExpr": "1 - (tma_info_branches_cond_nt + tma_info_branches_cond_tk + tma_info_branches_callret + tma_info_branches_jump)",
556bc4e4121SIan Rogers        "MetricGroup": "Bad;Branches",
557bc4e4121SIan Rogers        "MetricName": "tma_info_branches_other_branches"
558bc4e4121SIan Rogers    },
559bc4e4121SIan Rogers    {
560bc4e4121SIan Rogers        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
561bc4e4121SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.DISTRIBUTED",
562bc4e4121SIan Rogers        "MetricGroup": "SMT",
563bc4e4121SIan Rogers        "MetricName": "tma_info_core_core_clks"
564bc4e4121SIan Rogers    },
565bc4e4121SIan Rogers    {
566bc4e4121SIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
567bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_core_core_clks",
568bc4e4121SIan Rogers        "MetricGroup": "Ret;SMT;TmaL1;tma_L1_group",
569bc4e4121SIan Rogers        "MetricName": "tma_info_core_coreipc"
570bc4e4121SIan Rogers    },
571bc4e4121SIan Rogers    {
572bc4e4121SIan Rogers        "BriefDescription": "Floating Point Operations Per Cycle",
573bc4e4121SIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / tma_info_core_core_clks",
574bc4e4121SIan Rogers        "MetricGroup": "Flops;Ret",
575bc4e4121SIan Rogers        "MetricName": "tma_info_core_flopc"
576bc4e4121SIan Rogers    },
577bc4e4121SIan Rogers    {
578bc4e4121SIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
579bc4e4121SIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@) / (2 * tma_info_core_core_clks)",
580bc4e4121SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
581bc4e4121SIan Rogers        "MetricName": "tma_info_core_fp_arith_utilization",
582bc4e4121SIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
583bc4e4121SIan Rogers    },
584bc4e4121SIan Rogers    {
585bc4e4121SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
586bc4e4121SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / (UOPS_EXECUTED.CORE_CYCLES_GE_1 / 2 if #SMT_on else UOPS_EXECUTED.CORE_CYCLES_GE_1)",
587bc4e4121SIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
588bc4e4121SIan Rogers        "MetricName": "tma_info_core_ilp"
589bc4e4121SIan Rogers    },
590bc4e4121SIan Rogers    {
591bc4e4121SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
592bc4e4121SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / UOPS_ISSUED.ANY",
593bc4e4121SIan Rogers        "MetricGroup": "DSB;Fed;FetchBW;tma_issueFB",
594bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_dsb_coverage",
595bc4e4121SIan Rogers        "MetricThreshold": "tma_info_frontend_dsb_coverage < 0.7 & tma_info_thread_ipc / 5 > 0.35",
596bc4e4121SIan Rogers        "PublicDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache). Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_inst_mix_iptb, tma_lcp"
597bc4e4121SIan Rogers    },
598bc4e4121SIan Rogers    {
599bc4e4121SIan Rogers        "BriefDescription": "Average number of cycles of a switch from the DSB fetch-unit to MITE fetch unit - see DSB_Switches tree node for details.",
600bc4e4121SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / cpu@DSB2MITE_SWITCHES.PENALTY_CYCLES\\,cmask\\=1\\,edge@",
601bc4e4121SIan Rogers        "MetricGroup": "DSBmiss",
602bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_dsb_switch_cost"
603bc4e4121SIan Rogers    },
604bc4e4121SIan Rogers    {
605bc4e4121SIan Rogers        "BriefDescription": "Average number of Uops issued by front-end when it issued something",
606bc4e4121SIan Rogers        "MetricExpr": "UOPS_ISSUED.ANY / cpu@UOPS_ISSUED.ANY\\,cmask\\=1@",
607bc4e4121SIan Rogers        "MetricGroup": "Fed;FetchBW",
608bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_fetch_upc"
609bc4e4121SIan Rogers    },
610bc4e4121SIan Rogers    {
611bc4e4121SIan Rogers        "BriefDescription": "Average Latency for L1 instruction cache misses",
612bc4e4121SIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@",
613bc4e4121SIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss",
614bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_icache_miss_latency"
615bc4e4121SIan Rogers    },
616bc4e4121SIan Rogers    {
617bc4e4121SIan Rogers        "BriefDescription": "Instructions per non-speculative DSB miss (lower number means higher occurrence rate)",
618bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FRONTEND_RETIRED.ANY_DSB_MISS",
619bc4e4121SIan Rogers        "MetricGroup": "DSBmiss;Fed",
620bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_ipdsb_miss_ret",
621bc4e4121SIan Rogers        "MetricThreshold": "tma_info_frontend_ipdsb_miss_ret < 50"
622bc4e4121SIan Rogers    },
623bc4e4121SIan Rogers    {
624bc4e4121SIan Rogers        "BriefDescription": "Instructions per speculative Unknown Branch Misprediction (BAClear) (lower number means higher occurrence rate)",
625bc4e4121SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / BACLEARS.ANY",
626bc4e4121SIan Rogers        "MetricGroup": "Fed",
627bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_ipunknown_branch"
628bc4e4121SIan Rogers    },
629bc4e4121SIan Rogers    {
630bc4e4121SIan Rogers        "BriefDescription": "L2 cache true code cacheline misses per kilo instruction",
631bc4e4121SIan Rogers        "MetricExpr": "1e3 * FRONTEND_RETIRED.L2_MISS / INST_RETIRED.ANY",
632bc4e4121SIan Rogers        "MetricGroup": "IcMiss",
633bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code"
634bc4e4121SIan Rogers    },
635bc4e4121SIan Rogers    {
636bc4e4121SIan Rogers        "BriefDescription": "L2 cache speculative code cacheline misses per kilo instruction",
637bc4e4121SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
638bc4e4121SIan Rogers        "MetricGroup": "IcMiss",
639bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code_all"
640bc4e4121SIan Rogers    },
641bc4e4121SIan Rogers    {
642bc4e4121SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the LSD (Loop Stream Detector; aka Loop Cache)",
643bc4e4121SIan Rogers        "MetricExpr": "LSD.UOPS / UOPS_ISSUED.ANY",
644bc4e4121SIan Rogers        "MetricGroup": "Fed;LSD",
645bc4e4121SIan Rogers        "MetricName": "tma_info_frontend_lsd_coverage"
646bc4e4121SIan Rogers    },
647bc4e4121SIan Rogers    {
648bc4e4121SIan Rogers        "BriefDescription": "Branch instructions per taken branch.",
649bc4e4121SIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
650bc4e4121SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
651bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_bptkbranch"
652bc4e4121SIan Rogers    },
653bc4e4121SIan Rogers    {
654bc4e4121SIan Rogers        "BriefDescription": "Total number of retired Instructions",
655bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
656bc4e4121SIan Rogers        "MetricGroup": "Summary;TmaL1;tma_L1_group",
657bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_instructions",
658bc4e4121SIan Rogers        "PublicDescription": "Total number of retired Instructions. Sample with: INST_RETIRED.PREC_DIST"
659bc4e4121SIan Rogers    },
660bc4e4121SIan Rogers    {
661bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
662bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@)",
663bc4e4121SIan Rogers        "MetricGroup": "Flops;InsType",
664bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith",
665bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith < 10",
666bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). May undercount due to FMA double counting. Approximated prior to BDW."
667bc4e4121SIan Rogers    },
668bc4e4121SIan Rogers    {
669bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
670bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
671bc4e4121SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
672bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx128",
673bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx128 < 10",
674bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
675bc4e4121SIan Rogers    },
676bc4e4121SIan Rogers    {
677bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
678bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
679bc4e4121SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
680bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx256",
681bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx256 < 10",
682bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
683bc4e4121SIan Rogers    },
684bc4e4121SIan Rogers    {
685bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate)",
686bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
687bc4e4121SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
688bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx512",
689bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx512 < 10",
690bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
691bc4e4121SIan Rogers    },
692bc4e4121SIan Rogers    {
693bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
694bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
695bc4e4121SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
696bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_dp",
697bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_dp < 10",
698bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
699bc4e4121SIan Rogers    },
700bc4e4121SIan Rogers    {
701bc4e4121SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
702bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
703bc4e4121SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
704bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_sp",
705bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_sp < 10",
706bc4e4121SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
707bc4e4121SIan Rogers    },
708bc4e4121SIan Rogers    {
709bc4e4121SIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
710bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
711bc4e4121SIan Rogers        "MetricGroup": "Branches;Fed;InsType",
712bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipbranch",
713bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipbranch < 8"
714bc4e4121SIan Rogers    },
715bc4e4121SIan Rogers    {
716bc4e4121SIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
717bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
718bc4e4121SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
719bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipcall",
720bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipcall < 200"
721bc4e4121SIan Rogers    },
722bc4e4121SIan Rogers    {
723bc4e4121SIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
724bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
725bc4e4121SIan Rogers        "MetricGroup": "Flops;InsType",
726bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipflop",
727bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipflop < 10"
728bc4e4121SIan Rogers    },
729bc4e4121SIan Rogers    {
730bc4e4121SIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
731bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_LOADS",
732bc4e4121SIan Rogers        "MetricGroup": "InsType",
733bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipload",
734bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipload < 3"
735bc4e4121SIan Rogers    },
736bc4e4121SIan Rogers    {
737bc4e4121SIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
738bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_STORES",
739bc4e4121SIan Rogers        "MetricGroup": "InsType",
740bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipstore",
741bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipstore < 8"
742bc4e4121SIan Rogers    },
743bc4e4121SIan Rogers    {
744bc4e4121SIan Rogers        "BriefDescription": "Instructions per Software prefetch instruction (of any type: NTA/T0/T1/T2/Prefetch) (lower number means higher occurrence rate)",
745bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / cpu@SW_PREFETCH_ACCESS.T0\\,umask\\=0xF@",
746bc4e4121SIan Rogers        "MetricGroup": "Prefetches",
747bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_ipswpf",
748bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipswpf < 100"
749bc4e4121SIan Rogers    },
750bc4e4121SIan Rogers    {
751bc4e4121SIan Rogers        "BriefDescription": "Instruction per taken branch",
752bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
753bc4e4121SIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO;tma_issueFB",
754bc4e4121SIan Rogers        "MetricName": "tma_info_inst_mix_iptb",
755bc4e4121SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iptb < 11",
756bc4e4121SIan Rogers        "PublicDescription": "Instruction per taken branch. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_lcp"
757bc4e4121SIan Rogers    },
758bc4e4121SIan Rogers    {
759bc4e4121SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
760bc4e4121SIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1e9 / duration_time",
761bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
762bc4e4121SIan Rogers        "MetricName": "tma_info_memory_core_l1d_cache_fill_bw"
763bc4e4121SIan Rogers    },
764bc4e4121SIan Rogers    {
765bc4e4121SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
766bc4e4121SIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1e9 / duration_time",
767bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
768bc4e4121SIan Rogers        "MetricName": "tma_info_memory_core_l2_cache_fill_bw"
769bc4e4121SIan Rogers    },
770bc4e4121SIan Rogers    {
771bc4e4121SIan Rogers        "BriefDescription": "Average per-core data access bandwidth to the L3 cache [GB / sec]",
772bc4e4121SIan Rogers        "MetricExpr": "64 * OFFCORE_REQUESTS.ALL_REQUESTS / 1e9 / duration_time",
773bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
774bc4e4121SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_access_bw"
775bc4e4121SIan Rogers    },
776bc4e4121SIan Rogers    {
777bc4e4121SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
778bc4e4121SIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1e9 / duration_time",
779bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
780bc4e4121SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_fill_bw"
781bc4e4121SIan Rogers    },
782bc4e4121SIan Rogers    {
783bc4e4121SIan Rogers        "BriefDescription": "Fill Buffer (FB) hits per kilo instructions for retired demand loads (L1D misses that merge into ongoing miss-handling entries)",
784bc4e4121SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.FB_HIT / INST_RETIRED.ANY",
785bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
786bc4e4121SIan Rogers        "MetricName": "tma_info_memory_fb_hpki"
787bc4e4121SIan Rogers    },
788bc4e4121SIan Rogers    {
789bc4e4121SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
790bc4e4121SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L1_MISS / INST_RETIRED.ANY",
791bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
792bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l1mpki"
793bc4e4121SIan Rogers    },
794bc4e4121SIan Rogers    {
795bc4e4121SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for all demand loads (including speculative)",
796bc4e4121SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.ALL_DEMAND_DATA_RD / INST_RETIRED.ANY",
797bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
798bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l1mpki_load"
799bc4e4121SIan Rogers    },
800bc4e4121SIan Rogers    {
801bc4e4121SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
802bc4e4121SIan Rogers        "MetricExpr": "1e3 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
803bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
804bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l2hpki_all"
805bc4e4121SIan Rogers    },
806bc4e4121SIan Rogers    {
807bc4e4121SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
808bc4e4121SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
809bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
810bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l2hpki_load"
811bc4e4121SIan Rogers    },
812bc4e4121SIan Rogers    {
813bc4e4121SIan Rogers        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
814bc4e4121SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
815bc4e4121SIan Rogers        "MetricGroup": "Backend;CacheMisses;Mem",
816bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l2mpki"
817bc4e4121SIan Rogers    },
818bc4e4121SIan Rogers    {
819bc4e4121SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
820bc4e4121SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.MISS / INST_RETIRED.ANY",
821bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem;Offcore",
822bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l2mpki_all"
823bc4e4121SIan Rogers    },
824bc4e4121SIan Rogers    {
825bc4e4121SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
826bc4e4121SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
827bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
828bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l2mpki_load"
829bc4e4121SIan Rogers    },
830bc4e4121SIan Rogers    {
831bc4e4121SIan Rogers        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
832bc4e4121SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L3_MISS / INST_RETIRED.ANY",
833bc4e4121SIan Rogers        "MetricGroup": "CacheMisses;Mem",
834bc4e4121SIan Rogers        "MetricName": "tma_info_memory_l3mpki"
835bc4e4121SIan Rogers    },
836bc4e4121SIan Rogers    {
837bc4e4121SIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
838bc4e4121SIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_RETIRED.L1_MISS + MEM_LOAD_RETIRED.FB_HIT)",
839bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
840bc4e4121SIan Rogers        "MetricName": "tma_info_memory_load_miss_real_latency"
841de44486fSIan Rogers    },
842de44486fSIan Rogers    {
843de44486fSIan Rogers        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss",
844de44486fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
845de44486fSIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
846bc4e4121SIan Rogers        "MetricName": "tma_info_memory_mlp",
847de44486fSIan Rogers        "PublicDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)"
848de44486fSIan Rogers    },
849de44486fSIan Rogers    {
850bc4e4121SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss data reads",
851bc4e4121SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD",
852bc4e4121SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
853bc4e4121SIan Rogers        "MetricName": "tma_info_memory_oro_data_l2_mlp"
854bc4e4121SIan Rogers    },
855bc4e4121SIan Rogers    {
856bc4e4121SIan Rogers        "BriefDescription": "Average Latency for L2 cache miss demand Loads",
857bc4e4121SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS.DEMAND_DATA_RD",
858bc4e4121SIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
859bc4e4121SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_miss_latency"
860bc4e4121SIan Rogers    },
861bc4e4121SIan Rogers    {
862bc4e4121SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss demand Loads",
863bc4e4121SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / cpu@OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD\\,cmask\\=1@",
864bc4e4121SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
865bc4e4121SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_mlp"
866bc4e4121SIan Rogers    },
867bc4e4121SIan Rogers    {
868bc4e4121SIan Rogers        "BriefDescription": "Average Latency for L3 cache miss demand Loads",
869bc4e4121SIan Rogers        "MetricExpr": "cpu@OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD\\,umask\\=0x10@ / OFFCORE_REQUESTS.L3_MISS_DEMAND_DATA_RD",
870bc4e4121SIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
871bc4e4121SIan Rogers        "MetricName": "tma_info_memory_oro_load_l3_miss_latency"
872bc4e4121SIan Rogers    },
873bc4e4121SIan Rogers    {
874bc4e4121SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
875bc4e4121SIan Rogers        "MetricExpr": "tma_info_memory_core_l1d_cache_fill_bw",
876bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
877bc4e4121SIan Rogers        "MetricName": "tma_info_memory_thread_l1d_cache_fill_bw_1t"
878bc4e4121SIan Rogers    },
879bc4e4121SIan Rogers    {
880bc4e4121SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
881bc4e4121SIan Rogers        "MetricExpr": "tma_info_memory_core_l2_cache_fill_bw",
882bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
883bc4e4121SIan Rogers        "MetricName": "tma_info_memory_thread_l2_cache_fill_bw_1t"
884bc4e4121SIan Rogers    },
885bc4e4121SIan Rogers    {
886bc4e4121SIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
887bc4e4121SIan Rogers        "MetricExpr": "tma_info_memory_core_l3_cache_access_bw",
888bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
889bc4e4121SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_access_bw_1t"
890bc4e4121SIan Rogers    },
891bc4e4121SIan Rogers    {
892bc4e4121SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
893bc4e4121SIan Rogers        "MetricExpr": "tma_info_memory_core_l3_cache_fill_bw",
894bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW",
895bc4e4121SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_fill_bw_1t"
896bc4e4121SIan Rogers    },
897bc4e4121SIan Rogers    {
898bc4e4121SIan Rogers        "BriefDescription": "STLB (2nd level TLB) code speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
899bc4e4121SIan Rogers        "MetricExpr": "1e3 * ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
900bc4e4121SIan Rogers        "MetricGroup": "Fed;MemoryTLB",
901bc4e4121SIan Rogers        "MetricName": "tma_info_memory_tlb_code_stlb_mpki"
902bc4e4121SIan Rogers    },
903bc4e4121SIan Rogers    {
904bc4e4121SIan Rogers        "BriefDescription": "STLB (2nd level TLB) data load speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
905bc4e4121SIan Rogers        "MetricExpr": "1e3 * DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
906bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryTLB",
907bc4e4121SIan Rogers        "MetricName": "tma_info_memory_tlb_load_stlb_mpki"
908de44486fSIan Rogers    },
909de44486fSIan Rogers    {
910de44486fSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
911bc4e4121SIan Rogers        "MetricExpr": "(ITLB_MISSES.WALK_PENDING + DTLB_LOAD_MISSES.WALK_PENDING + DTLB_STORE_MISSES.WALK_PENDING) / (2 * tma_info_core_core_clks)",
912de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
913bc4e4121SIan Rogers        "MetricName": "tma_info_memory_tlb_page_walks_utilization",
914bc4e4121SIan Rogers        "MetricThreshold": "tma_info_memory_tlb_page_walks_utilization > 0.5"
915de44486fSIan Rogers    },
916de44486fSIan Rogers    {
917de44486fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) data store speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
918de44486fSIan Rogers        "MetricExpr": "1e3 * DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
919de44486fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
920bc4e4121SIan Rogers        "MetricName": "tma_info_memory_tlb_store_stlb_mpki"
921bc4e4121SIan Rogers    },
922bc4e4121SIan Rogers    {
923bc4e4121SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-thread",
924bc4e4121SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
925bc4e4121SIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
926bc4e4121SIan Rogers        "MetricName": "tma_info_pipeline_execute"
927bc4e4121SIan Rogers    },
928bc4e4121SIan Rogers    {
929bc4e4121SIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
930bc4e4121SIan Rogers        "MetricExpr": "tma_retiring * tma_info_thread_slots / cpu@UOPS_RETIRED.SLOTS\\,cmask\\=1@",
931bc4e4121SIan Rogers        "MetricGroup": "Pipeline;Ret",
932bc4e4121SIan Rogers        "MetricName": "tma_info_pipeline_retire"
933bc4e4121SIan Rogers    },
934bc4e4121SIan Rogers    {
935bc4e4121SIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
936bc4e4121SIan Rogers        "MetricExpr": "tma_info_system_turbo_utilization * TSC / 1e9 / duration_time",
937bc4e4121SIan Rogers        "MetricGroup": "Power;Summary",
938bc4e4121SIan Rogers        "MetricName": "tma_info_system_average_frequency"
939bc4e4121SIan Rogers    },
940bc4e4121SIan Rogers    {
941bc4e4121SIan Rogers        "BriefDescription": "Average CPU Utilization",
942bc4e4121SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / TSC",
943bc4e4121SIan Rogers        "MetricGroup": "HPC;Summary",
944bc4e4121SIan Rogers        "MetricName": "tma_info_system_cpu_utilization"
945bc4e4121SIan Rogers    },
946bc4e4121SIan Rogers    {
947bc4e4121SIan Rogers        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
948bc4e4121SIan Rogers        "MetricExpr": "64 * (arb@event\\=0x81\\,umask\\=0x1@ + arb@event\\=0x84\\,umask\\=0x1@) / 1e6 / duration_time / 1e3",
949bc4e4121SIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC;tma_issueBW",
950bc4e4121SIan Rogers        "MetricName": "tma_info_system_dram_bw_use",
951bc4e4121SIan Rogers        "PublicDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]. Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_mem_bandwidth, tma_sq_full"
952bc4e4121SIan Rogers    },
953bc4e4121SIan Rogers    {
954bc4e4121SIan Rogers        "BriefDescription": "Giga Floating Point Operations Per Second",
955bc4e4121SIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * cpu@FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE\\,umask\\=0x18@ + 8 * cpu@FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE\\,umask\\=0x60@ + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / 1e9 / duration_time",
956bc4e4121SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
957bc4e4121SIan Rogers        "MetricName": "tma_info_system_gflops",
958bc4e4121SIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
959bc4e4121SIan Rogers    },
960bc4e4121SIan Rogers    {
961bc4e4121SIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
962bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
963bc4e4121SIan Rogers        "MetricGroup": "Branches;OS",
964bc4e4121SIan Rogers        "MetricName": "tma_info_system_ipfarbranch",
965bc4e4121SIan Rogers        "MetricThreshold": "tma_info_system_ipfarbranch < 1e6"
966bc4e4121SIan Rogers    },
967bc4e4121SIan Rogers    {
968bc4e4121SIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
969bc4e4121SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
970bc4e4121SIan Rogers        "MetricGroup": "OS",
971bc4e4121SIan Rogers        "MetricName": "tma_info_system_kernel_cpi"
972bc4e4121SIan Rogers    },
973bc4e4121SIan Rogers    {
974bc4e4121SIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
975bc4e4121SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
976bc4e4121SIan Rogers        "MetricGroup": "OS",
977bc4e4121SIan Rogers        "MetricName": "tma_info_system_kernel_utilization",
978bc4e4121SIan Rogers        "MetricThreshold": "tma_info_system_kernel_utilization > 0.05"
979bc4e4121SIan Rogers    },
980bc4e4121SIan Rogers    {
981bc4e4121SIan Rogers        "BriefDescription": "Average number of parallel data read requests to external memory",
982bc4e4121SIan Rogers        "MetricExpr": "UNC_ARB_DAT_OCCUPANCY.RD / UNC_ARB_DAT_OCCUPANCY.RD@cmask\\=1@",
983bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryBW;SoC",
984bc4e4121SIan Rogers        "MetricName": "tma_info_system_mem_parallel_reads",
985bc4e4121SIan Rogers        "PublicDescription": "Average number of parallel data read requests to external memory. Accounts for demand loads and L1/L2 prefetches"
986bc4e4121SIan Rogers    },
987bc4e4121SIan Rogers    {
988bc4e4121SIan Rogers        "BriefDescription": "Average latency of data read request to external memory (in nanoseconds)",
989bc4e4121SIan Rogers        "MetricExpr": "(UNC_ARB_TRK_OCCUPANCY.RD + UNC_ARB_DAT_OCCUPANCY.RD) / UNC_ARB_TRK_REQUESTS.RD",
990bc4e4121SIan Rogers        "MetricGroup": "Mem;MemoryLat;SoC",
991bc4e4121SIan Rogers        "MetricName": "tma_info_system_mem_read_latency",
992bc4e4121SIan Rogers        "PublicDescription": "Average latency of data read request to external memory (in nanoseconds). Accounts for demand loads and L1/L2 prefetches. ([RKL+]memory-controller only)"
993bc4e4121SIan Rogers    },
994bc4e4121SIan Rogers    {
995bc4e4121SIan Rogers        "BriefDescription": "Average latency of all requests to external memory (in Uncore cycles)",
996bc4e4121SIan Rogers        "MetricExpr": "(UNC_ARB_TRK_OCCUPANCY.ALL + UNC_ARB_DAT_OCCUPANCY.RD) / arb@event\\=0x81\\,umask\\=0x1@",
997bc4e4121SIan Rogers        "MetricGroup": "Mem;SoC",
998bc4e4121SIan Rogers        "MetricName": "tma_info_system_mem_request_latency"
999bc4e4121SIan Rogers    },
1000bc4e4121SIan Rogers    {
1001bc4e4121SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0",
1002bc4e4121SIan Rogers        "MetricExpr": "CORE_POWER.LVL0_TURBO_LICENSE / tma_info_core_core_clks",
1003bc4e4121SIan Rogers        "MetricGroup": "Power",
1004bc4e4121SIan Rogers        "MetricName": "tma_info_system_power_license0_utilization",
1005bc4e4121SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0.  This includes non-AVX codes, SSE, AVX 128-bit, and low-current AVX 256-bit codes."
1006bc4e4121SIan Rogers    },
1007bc4e4121SIan Rogers    {
1008bc4e4121SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1",
1009bc4e4121SIan Rogers        "MetricExpr": "CORE_POWER.LVL1_TURBO_LICENSE / tma_info_core_core_clks",
1010bc4e4121SIan Rogers        "MetricGroup": "Power",
1011bc4e4121SIan Rogers        "MetricName": "tma_info_system_power_license1_utilization",
1012bc4e4121SIan Rogers        "MetricThreshold": "tma_info_system_power_license1_utilization > 0.5",
1013bc4e4121SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1.  This includes high current AVX 256-bit instructions as well as low current AVX 512-bit instructions."
1014bc4e4121SIan Rogers    },
1015bc4e4121SIan Rogers    {
1016bc4e4121SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX)",
1017bc4e4121SIan Rogers        "MetricExpr": "CORE_POWER.LVL2_TURBO_LICENSE / tma_info_core_core_clks",
1018bc4e4121SIan Rogers        "MetricGroup": "Power",
1019bc4e4121SIan Rogers        "MetricName": "tma_info_system_power_license2_utilization",
1020bc4e4121SIan Rogers        "MetricThreshold": "tma_info_system_power_license2_utilization > 0.5",
1021bc4e4121SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX).  This includes high current AVX 512-bit instructions."
1022bc4e4121SIan Rogers    },
1023bc4e4121SIan Rogers    {
1024bc4e4121SIan Rogers        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
1025bc4e4121SIan Rogers        "MetricExpr": "(1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_DISTRIBUTED if #SMT_on else 0)",
1026bc4e4121SIan Rogers        "MetricGroup": "SMT",
1027bc4e4121SIan Rogers        "MetricName": "tma_info_system_smt_2t_utilization"
1028de44486fSIan Rogers    },
1029de44486fSIan Rogers    {
1030de44486fSIan Rogers        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
1031bc4e4121SIan Rogers        "MetricExpr": "tma_info_thread_clks / CPU_CLK_UNHALTED.REF_TSC",
1032de44486fSIan Rogers        "MetricGroup": "Power",
1033bc4e4121SIan Rogers        "MetricName": "tma_info_system_turbo_utilization"
1034bc4e4121SIan Rogers    },
1035bc4e4121SIan Rogers    {
1036bc4e4121SIan Rogers        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
1037bc4e4121SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
1038bc4e4121SIan Rogers        "MetricGroup": "Pipeline",
1039bc4e4121SIan Rogers        "MetricName": "tma_info_thread_clks"
1040bc4e4121SIan Rogers    },
1041bc4e4121SIan Rogers    {
1042bc4e4121SIan Rogers        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
1043bc4e4121SIan Rogers        "MetricExpr": "1 / tma_info_thread_ipc",
1044bc4e4121SIan Rogers        "MetricGroup": "Mem;Pipeline",
1045bc4e4121SIan Rogers        "MetricName": "tma_info_thread_cpi"
1046bc4e4121SIan Rogers    },
1047bc4e4121SIan Rogers    {
1048bc4e4121SIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
1049bc4e4121SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
1050bc4e4121SIan Rogers        "MetricGroup": "Cor;Pipeline",
1051bc4e4121SIan Rogers        "MetricName": "tma_info_thread_execute_per_issue",
1052bc4e4121SIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
1053bc4e4121SIan Rogers    },
1054bc4e4121SIan Rogers    {
1055bc4e4121SIan Rogers        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
1056bc4e4121SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_thread_clks",
1057bc4e4121SIan Rogers        "MetricGroup": "Ret;Summary",
1058bc4e4121SIan Rogers        "MetricName": "tma_info_thread_ipc"
1059bc4e4121SIan Rogers    },
1060bc4e4121SIan Rogers    {
1061bc4e4121SIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
1062bc4e4121SIan Rogers        "MetricExpr": "TOPDOWN.SLOTS",
1063bc4e4121SIan Rogers        "MetricGroup": "TmaL1;tma_L1_group",
1064bc4e4121SIan Rogers        "MetricName": "tma_info_thread_slots"
1065bc4e4121SIan Rogers    },
1066bc4e4121SIan Rogers    {
1067bc4e4121SIan Rogers        "BriefDescription": "Fraction of Physical Core issue-slots utilized by this Logical Processor",
1068bc4e4121SIan Rogers        "MetricExpr": "(tma_info_thread_slots / (TOPDOWN.SLOTS / 2) if #SMT_on else 1)",
1069bc4e4121SIan Rogers        "MetricGroup": "SMT;TmaL1;tma_L1_group",
1070bc4e4121SIan Rogers        "MetricName": "tma_info_thread_slots_utilization"
1071de44486fSIan Rogers    },
1072de44486fSIan Rogers    {
1073de44486fSIan Rogers        "BriefDescription": "Uops Per Instruction",
1074bc4e4121SIan Rogers        "MetricExpr": "tma_retiring * tma_info_thread_slots / INST_RETIRED.ANY",
1075de44486fSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
1076bc4e4121SIan Rogers        "MetricName": "tma_info_thread_uoppi",
1077bc4e4121SIan Rogers        "MetricThreshold": "tma_info_thread_uoppi > 1.05"
1078de44486fSIan Rogers    },
1079de44486fSIan Rogers    {
1080de44486fSIan Rogers        "BriefDescription": "Instruction per taken branch",
1081bc4e4121SIan Rogers        "MetricExpr": "tma_retiring * tma_info_thread_slots / BR_INST_RETIRED.NEAR_TAKEN",
1082de44486fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
1083bc4e4121SIan Rogers        "MetricName": "tma_info_thread_uptb",
1084bc4e4121SIan Rogers        "MetricThreshold": "tma_info_thread_uptb < 7.5"
1085de44486fSIan Rogers    },
1086de44486fSIan Rogers    {
1087de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
1088bc4e4121SIan Rogers        "MetricExpr": "ICACHE_64B.IFTAG_STALL / tma_info_thread_clks",
1089de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_L3_group;tma_fetch_latency_group",
1090de44486fSIan Rogers        "MetricName": "tma_itlb_misses",
1091de44486fSIan Rogers        "MetricThreshold": "tma_itlb_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1092de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: FRONTEND_RETIRED.STLB_MISS_PS;FRONTEND_RETIRED.ITLB_MISS_PS",
1093de44486fSIan Rogers        "ScaleUnit": "100%"
1094de44486fSIan Rogers    },
1095de44486fSIan Rogers    {
1096de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
1097bc4e4121SIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / tma_info_thread_clks, 0)",
1098de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_issueL1;tma_issueMC;tma_memory_bound_group",
1099de44486fSIan Rogers        "MetricName": "tma_l1_bound",
1100de44486fSIan Rogers        "MetricThreshold": "tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1101de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_RETIRED.L1_HIT_PS;MEM_LOAD_RETIRED.FB_HIT_PS. Related metrics: tma_clears_resteers, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches, tma_ports_utilized_1",
1102de44486fSIan Rogers        "ScaleUnit": "100%"
1103de44486fSIan Rogers    },
1104de44486fSIan Rogers    {
1105de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
1106de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1107bc4e4121SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) / (MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + L1D_PEND_MISS.FB_FULL_PERIODS) * ((CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks)",
1108de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1109de44486fSIan Rogers        "MetricName": "tma_l2_bound",
1110de44486fSIan Rogers        "MetricThreshold": "tma_l2_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1111de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L2_HIT_PS",
1112de44486fSIan Rogers        "ScaleUnit": "100%"
1113de44486fSIan Rogers    },
1114de44486fSIan Rogers    {
1115de44486fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
1116*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1117bc4e4121SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L2_MISS - CYCLE_ACTIVITY.STALLS_L3_MISS) / tma_info_thread_clks",
1118de44486fSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1119de44486fSIan Rogers        "MetricName": "tma_l3_bound",
1120de44486fSIan Rogers        "MetricThreshold": "tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1121de44486fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS",
1122de44486fSIan Rogers        "ScaleUnit": "100%"
1123de44486fSIan Rogers    },
1124de44486fSIan Rogers    {
1125de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
1126bc4e4121SIan Rogers        "MetricExpr": "17.5 * tma_info_system_average_frequency * MEM_LOAD_RETIRED.L3_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
1127de44486fSIan Rogers        "MetricGroup": "MemoryLat;TopdownL4;tma_L4_group;tma_issueLat;tma_l3_bound_group",
1128de44486fSIan Rogers        "MetricName": "tma_l3_hit_latency",
1129de44486fSIan Rogers        "MetricThreshold": "tma_l3_hit_latency > 0.1 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1130bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS. Related metrics: tma_info_bottleneck_memory_latency, tma_mem_latency",
1131de44486fSIan Rogers        "ScaleUnit": "100%"
1132de44486fSIan Rogers    },
1133de44486fSIan Rogers    {
1134de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
1135bc4e4121SIan Rogers        "MetricExpr": "ILD_STALL.LCP / tma_info_thread_clks",
1136de44486fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
1137de44486fSIan Rogers        "MetricName": "tma_lcp",
1138de44486fSIan Rogers        "MetricThreshold": "tma_lcp > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1139bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb",
1140de44486fSIan Rogers        "ScaleUnit": "100%"
1141de44486fSIan Rogers    },
1142de44486fSIan Rogers    {
1143de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
1144de44486fSIan Rogers        "MetricExpr": "max(0, tma_retiring - tma_heavy_operations)",
1145de44486fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
1146de44486fSIan Rogers        "MetricName": "tma_light_operations",
1147de44486fSIan Rogers        "MetricThreshold": "tma_light_operations > 0.6",
1148ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1149de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UopPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
1150de44486fSIan Rogers        "ScaleUnit": "100%"
1151de44486fSIan Rogers    },
1152de44486fSIan Rogers    {
1153de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations",
1154bc4e4121SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_2_3 / (2 * tma_info_core_core_clks)",
1155de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
1156de44486fSIan Rogers        "MetricName": "tma_load_op_utilization",
1157de44486fSIan Rogers        "MetricThreshold": "tma_load_op_utilization > 0.6",
1158de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations. Sample with: UOPS_DISPATCHED.PORT_2_3",
1159de44486fSIan Rogers        "ScaleUnit": "100%"
1160de44486fSIan Rogers    },
1161de44486fSIan Rogers    {
1162de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the (first level) DTLB was missed by load accesses, that later on hit in second-level TLB (STLB)",
1163de44486fSIan Rogers        "MetricExpr": "tma_dtlb_load - tma_load_stlb_miss",
1164de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
1165de44486fSIan Rogers        "MetricName": "tma_load_stlb_hit",
1166de44486fSIan Rogers        "MetricThreshold": "tma_load_stlb_hit > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1167de44486fSIan Rogers        "ScaleUnit": "100%"
1168de44486fSIan Rogers    },
1169de44486fSIan Rogers    {
1170de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the Second-level TLB (STLB) was missed by load accesses, performing a hardware page walk",
1171bc4e4121SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_ACTIVE / tma_info_thread_clks",
1172de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
1173de44486fSIan Rogers        "MetricName": "tma_load_stlb_miss",
1174de44486fSIan Rogers        "MetricThreshold": "tma_load_stlb_miss > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1175de44486fSIan Rogers        "ScaleUnit": "100%"
1176de44486fSIan Rogers    },
1177de44486fSIan Rogers    {
1178de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
1179de44486fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1180bc4e4121SIan Rogers        "MetricExpr": "(16 * max(0, MEM_INST_RETIRED.LOCK_LOADS - L2_RQSTS.ALL_RFO) + MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES * (10 * L2_RQSTS.RFO_HIT + min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO))) / tma_info_thread_clks",
1181de44486fSIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_l1_bound_group",
1182de44486fSIan Rogers        "MetricName": "tma_lock_latency",
1183de44486fSIan Rogers        "MetricThreshold": "tma_lock_latency > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1184de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_INST_RETIRED.LOCK_LOADS_PS. Related metrics: tma_store_latency",
1185de44486fSIan Rogers        "ScaleUnit": "100%"
1186de44486fSIan Rogers    },
1187de44486fSIan Rogers    {
1188de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to LSD (Loop Stream Detector) unit",
1189bc4e4121SIan Rogers        "MetricExpr": "(LSD.CYCLES_ACTIVE - LSD.CYCLES_OK) / tma_info_core_core_clks / 2",
1190de44486fSIan Rogers        "MetricGroup": "FetchBW;LSD;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
1191de44486fSIan Rogers        "MetricName": "tma_lsd",
1192bc4e4121SIan Rogers        "MetricThreshold": "tma_lsd > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35)",
1193de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to LSD (Loop Stream Detector) unit.  LSD typically does well sustaining Uop supply. However; in some rare cases; optimal uop-delivery could not be reached for small loops whose size (in terms of number of uops) does not suit well the LSD structure.",
1194de44486fSIan Rogers        "ScaleUnit": "100%"
1195de44486fSIan Rogers    },
1196de44486fSIan Rogers    {
1197de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
1198de44486fSIan Rogers        "MetricExpr": "max(0, tma_bad_speculation - tma_branch_mispredicts)",
1199de44486fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueMC;tma_issueSyncxn",
1200de44486fSIan Rogers        "MetricName": "tma_machine_clears",
1201de44486fSIan Rogers        "MetricThreshold": "tma_machine_clears > 0.1 & tma_bad_speculation > 0.15",
1202ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1203de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT. Related metrics: tma_clears_resteers, tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_l1_bound, tma_microcode_sequencer, tma_ms_switches, tma_remote_cache",
1204de44486fSIan Rogers        "ScaleUnit": "100%"
1205de44486fSIan Rogers    },
1206de44486fSIan Rogers    {
1207de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
1208bc4e4121SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / tma_info_thread_clks",
1209de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueBW",
1210de44486fSIan Rogers        "MetricName": "tma_mem_bandwidth",
1211de44486fSIan Rogers        "MetricThreshold": "tma_mem_bandwidth > 0.2 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1212bc4e4121SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that). Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_sq_full",
1213de44486fSIan Rogers        "ScaleUnit": "100%"
1214de44486fSIan Rogers    },
1215de44486fSIan Rogers    {
1216de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
1217bc4e4121SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / tma_info_thread_clks - tma_mem_bandwidth",
1218de44486fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueLat",
1219de44486fSIan Rogers        "MetricName": "tma_mem_latency",
1220de44486fSIan Rogers        "MetricThreshold": "tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1221bc4e4121SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that). Related metrics: tma_info_bottleneck_memory_latency, tma_l3_hit_latency",
1222de44486fSIan Rogers        "ScaleUnit": "100%"
1223de44486fSIan Rogers    },
1224de44486fSIan Rogers    {
1225de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
1226de44486fSIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_MEM_ANY + EXE_ACTIVITY.BOUND_ON_STORES) / (CYCLE_ACTIVITY.STALLS_TOTAL + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) + EXE_ACTIVITY.BOUND_ON_STORES) * tma_backend_bound",
1227de44486fSIan Rogers        "MetricGroup": "Backend;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
1228de44486fSIan Rogers        "MetricName": "tma_memory_bound",
1229de44486fSIan Rogers        "MetricThreshold": "tma_memory_bound > 0.2 & tma_backend_bound > 0.2",
1230ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1231de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
1232de44486fSIan Rogers        "ScaleUnit": "100%"
1233de44486fSIan Rogers    },
1234de44486fSIan Rogers    {
1235de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring memory operations -- uops for memory load or store accesses.",
1236cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1237de44486fSIan Rogers        "MetricExpr": "tma_light_operations * MEM_INST_RETIRED.ANY / INST_RETIRED.ANY",
1238de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1239de44486fSIan Rogers        "MetricName": "tma_memory_operations",
1240de44486fSIan Rogers        "MetricThreshold": "tma_memory_operations > 0.1 & tma_light_operations > 0.6",
1241de44486fSIan Rogers        "ScaleUnit": "100%"
1242de44486fSIan Rogers    },
1243de44486fSIan Rogers    {
1244de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
1245bc4e4121SIan Rogers        "MetricExpr": "tma_retiring * tma_info_thread_slots / UOPS_ISSUED.ANY * IDQ.MS_UOPS / tma_info_thread_slots",
1246de44486fSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueMC;tma_issueMS",
1247de44486fSIan Rogers        "MetricName": "tma_microcode_sequencer",
1248de44486fSIan Rogers        "MetricThreshold": "tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1",
1249de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_ms_switches",
1250de44486fSIan Rogers        "ScaleUnit": "100%"
1251de44486fSIan Rogers    },
1252de44486fSIan Rogers    {
1253de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage",
1254bc4e4121SIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
1255de44486fSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueBM",
1256de44486fSIan Rogers        "MetricName": "tma_mispredicts_resteers",
1257de44486fSIan Rogers        "MetricThreshold": "tma_mispredicts_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1258bc4e4121SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions",
1259de44486fSIan Rogers        "ScaleUnit": "100%"
1260de44486fSIan Rogers    },
1261de44486fSIan Rogers    {
1262de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
1263bc4e4121SIan Rogers        "MetricExpr": "(IDQ.MITE_CYCLES_ANY - IDQ.MITE_CYCLES_OK) / tma_info_core_core_clks / 2",
1264de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
1265de44486fSIan Rogers        "MetricName": "tma_mite",
1266bc4e4121SIan Rogers        "MetricThreshold": "tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35)",
1267de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck. Sample with: FRONTEND_RETIRED.ANY_DSB_MISS",
1268de44486fSIan Rogers        "ScaleUnit": "100%"
1269de44486fSIan Rogers    },
1270de44486fSIan Rogers    {
1271de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where (only) 4 uops were delivered by the MITE pipeline",
1272bc4e4121SIan Rogers        "MetricExpr": "(cpu@IDQ.MITE_UOPS\\,cmask\\=4@ - cpu@IDQ.MITE_UOPS\\,cmask\\=5@) / tma_info_thread_clks",
1273de44486fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_mite_group",
1274de44486fSIan Rogers        "MetricName": "tma_mite_4wide",
1275bc4e4121SIan Rogers        "MetricThreshold": "tma_mite_4wide > 0.05 & (tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 5 > 0.35))",
1276de44486fSIan Rogers        "ScaleUnit": "100%"
1277de44486fSIan Rogers    },
1278de44486fSIan Rogers    {
1279de44486fSIan Rogers        "BriefDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued",
1280de44486fSIan Rogers        "MetricExpr": "UOPS_ISSUED.VECTOR_WIDTH_MISMATCH / UOPS_ISSUED.ANY",
1281de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_issueMV;tma_ports_utilized_0_group",
1282de44486fSIan Rogers        "MetricName": "tma_mixing_vectors",
1283de44486fSIan Rogers        "MetricThreshold": "tma_mixing_vectors > 0.05",
1284de44486fSIan Rogers        "PublicDescription": "The Mixing_Vectors metric gives the percentage of injected blend uops out of all uops issued. Usually a Mixing_Vectors over 5% is worth investigating. Read more in Appendix B1 of the Optimizations Guide for this topic. Related metrics: tma_ms_switches",
1285de44486fSIan Rogers        "ScaleUnit": "100%"
1286de44486fSIan Rogers    },
1287de44486fSIan Rogers    {
1288de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
1289bc4e4121SIan Rogers        "MetricExpr": "3 * IDQ.MS_SWITCHES / tma_info_thread_clks",
1290de44486fSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueMC;tma_issueMS;tma_issueMV;tma_issueSO",
1291de44486fSIan Rogers        "MetricName": "tma_ms_switches",
1292de44486fSIan Rogers        "MetricThreshold": "tma_ms_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1293de44486fSIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_mixing_vectors, tma_serializing_operation",
1294de44486fSIan Rogers        "ScaleUnit": "100%"
1295de44486fSIan Rogers    },
1296de44486fSIan Rogers    {
1297de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions",
1298bc4e4121SIan Rogers        "MetricExpr": "tma_light_operations * INST_RETIRED.NOP / (tma_retiring * tma_info_thread_slots)",
1299de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1300de44486fSIan Rogers        "MetricName": "tma_nop_instructions",
1301de44486fSIan Rogers        "MetricThreshold": "tma_nop_instructions > 0.1 & tma_light_operations > 0.6",
1302de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions. Compilers often use NOPs for certain address alignments - e.g. start address of a function or loop body. Sample with: INST_RETIRED.NOP",
1303de44486fSIan Rogers        "ScaleUnit": "100%"
1304de44486fSIan Rogers    },
1305de44486fSIan Rogers    {
1306de44486fSIan Rogers        "BriefDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes",
1307cde61c60SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1308de44486fSIan Rogers        "MetricExpr": "max(0, tma_light_operations - (tma_fp_arith + tma_memory_operations + tma_branch_instructions + tma_nop_instructions))",
1309de44486fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
1310de44486fSIan Rogers        "MetricName": "tma_other_light_ops",
1311de44486fSIan Rogers        "MetricThreshold": "tma_other_light_ops > 0.3 & tma_light_operations > 0.6",
1312de44486fSIan Rogers        "PublicDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes. May undercount due to FMA double counting",
1313de44486fSIan Rogers        "ScaleUnit": "100%"
1314de44486fSIan Rogers    },
1315de44486fSIan Rogers    {
1316de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch)",
1317bc4e4121SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_0 / tma_info_core_core_clks",
1318de44486fSIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1319de44486fSIan Rogers        "MetricName": "tma_port_0",
1320de44486fSIan Rogers        "MetricThreshold": "tma_port_0 > 0.6",
1321de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch). Sample with: UOPS_DISPATCHED.PORT_0. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
1322de44486fSIan Rogers        "ScaleUnit": "100%"
1323de44486fSIan Rogers    },
1324de44486fSIan Rogers    {
1325de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU)",
1326bc4e4121SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_1 / tma_info_core_core_clks",
1327de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1328de44486fSIan Rogers        "MetricName": "tma_port_1",
1329de44486fSIan Rogers        "MetricThreshold": "tma_port_1 > 0.6",
1330de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU). Sample with: UOPS_DISPATCHED.PORT_1. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_5, tma_port_6, tma_ports_utilized_2",
1331de44486fSIan Rogers        "ScaleUnit": "100%"
1332de44486fSIan Rogers    },
1333de44486fSIan Rogers    {
1334de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU)",
1335bc4e4121SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_5 / tma_info_core_core_clks",
1336de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1337de44486fSIan Rogers        "MetricName": "tma_port_5",
1338de44486fSIan Rogers        "MetricThreshold": "tma_port_5 > 0.6",
1339de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU). Sample with: UOPS_DISPATCHED.PORT_5. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_6, tma_ports_utilized_2",
1340de44486fSIan Rogers        "ScaleUnit": "100%"
1341de44486fSIan Rogers    },
1342de44486fSIan Rogers    {
1343de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU)",
1344bc4e4121SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.PORT_6 / tma_info_core_core_clks",
1345de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
1346de44486fSIan Rogers        "MetricName": "tma_port_6",
1347de44486fSIan Rogers        "MetricThreshold": "tma_port_6 > 0.6",
1348de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU). Sample with: UOPS_DISPATCHED.PORT_6. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_ports_utilized_2",
1349de44486fSIan Rogers        "ScaleUnit": "100%"
1350de44486fSIan Rogers    },
1351de44486fSIan Rogers    {
1352de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
1353bc4e4121SIan Rogers        "MetricExpr": "((cpu@EXE_ACTIVITY.3_PORTS_UTIL\\,umask\\=0x80@ + tma_serializing_operation * (CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY) + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL)) / tma_info_thread_clks if ARITH.DIVIDER_ACTIVE < CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY else (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_thread_clks)",
1354de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group",
1355de44486fSIan Rogers        "MetricName": "tma_ports_utilization",
1356de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
1357de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
1358de44486fSIan Rogers        "ScaleUnit": "100%"
1359de44486fSIan Rogers    },
1360de44486fSIan Rogers    {
1361de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1362bc4e4121SIan Rogers        "MetricExpr": "cpu@EXE_ACTIVITY.3_PORTS_UTIL\\,umask\\=0x80@ / tma_info_thread_clks + tma_serializing_operation * (CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY) / tma_info_thread_clks",
1363de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
1364de44486fSIan Rogers        "MetricName": "tma_ports_utilized_0",
1365de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1366de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
1367de44486fSIan Rogers        "ScaleUnit": "100%"
1368de44486fSIan Rogers    },
1369de44486fSIan Rogers    {
1370de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1371bc4e4121SIan Rogers        "MetricExpr": "EXE_ACTIVITY.1_PORTS_UTIL / tma_info_thread_clks",
1372de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issueL1;tma_ports_utilization_group",
1373de44486fSIan Rogers        "MetricName": "tma_ports_utilized_1",
1374de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_1 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1375de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful. Sample with: EXE_ACTIVITY.1_PORTS_UTIL. Related metrics: tma_l1_bound",
1376de44486fSIan Rogers        "ScaleUnit": "100%"
1377de44486fSIan Rogers    },
1378de44486fSIan Rogers    {
1379de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1380bc4e4121SIan Rogers        "MetricExpr": "EXE_ACTIVITY.2_PORTS_UTIL / tma_info_thread_clks",
1381de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issue2P;tma_ports_utilization_group",
1382de44486fSIan Rogers        "MetricName": "tma_ports_utilized_2",
1383de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_2 > 0.15 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1384de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop. Sample with: EXE_ACTIVITY.2_PORTS_UTIL. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6",
1385de44486fSIan Rogers        "ScaleUnit": "100%"
1386de44486fSIan Rogers    },
1387de44486fSIan Rogers    {
1388de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1389bc4e4121SIan Rogers        "MetricExpr": "UOPS_EXECUTED.CYCLES_GE_3 / tma_info_thread_clks",
1390de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
1391de44486fSIan Rogers        "MetricName": "tma_ports_utilized_3m",
1392de44486fSIan Rogers        "MetricThreshold": "tma_ports_utilized_3m > 0.7 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
1393de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). Sample with: UOPS_EXECUTED.CYCLES_GE_3",
1394de44486fSIan Rogers        "ScaleUnit": "100%"
1395de44486fSIan Rogers    },
1396de44486fSIan Rogers    {
1397de44486fSIan Rogers        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
1398969a4661SKan Liang        "DefaultMetricgroupName": "TopdownL1",
1399bc4e4121SIan Rogers        "MetricExpr": "topdown\\-retiring / (topdown\\-fe\\-bound + topdown\\-bad\\-spec + topdown\\-retiring + topdown\\-be\\-bound) + 0 * tma_info_thread_slots",
1400969a4661SKan Liang        "MetricGroup": "Default;TmaL1;TopdownL1;tma_L1_group",
1401de44486fSIan Rogers        "MetricName": "tma_retiring",
1402de44486fSIan Rogers        "MetricThreshold": "tma_retiring > 0.7 | tma_heavy_operations > 0.1",
1403969a4661SKan Liang        "MetricgroupNoGroup": "TopdownL1;Default",
1404de44486fSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided. Sample with: UOPS_RETIRED.SLOTS",
1405de44486fSIan Rogers        "ScaleUnit": "100%"
1406de44486fSIan Rogers    },
1407de44486fSIan Rogers    {
1408de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations",
1409bc4e4121SIan Rogers        "MetricExpr": "RESOURCE_STALLS.SCOREBOARD / tma_info_thread_clks",
1410de44486fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL5;tma_L5_group;tma_issueSO;tma_ports_utilized_0_group",
1411de44486fSIan Rogers        "MetricName": "tma_serializing_operation",
1412de44486fSIan Rogers        "MetricThreshold": "tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)))",
1413de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations. Instructions like CPUID; WRMSR or LFENCE serialize the out-of-order execution which may limit performance. Sample with: RESOURCE_STALLS.SCOREBOARD. Related metrics: tma_ms_switches",
1414de44486fSIan Rogers        "ScaleUnit": "100%"
1415de44486fSIan Rogers    },
1416de44486fSIan Rogers    {
1417de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions",
1418bc4e4121SIan Rogers        "MetricExpr": "140 * MISC_RETIRED.PAUSE_INST / tma_info_thread_clks",
1419de44486fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_serializing_operation_group",
1420de44486fSIan Rogers        "MetricName": "tma_slow_pause",
1421de44486fSIan Rogers        "MetricThreshold": "tma_slow_pause > 0.05 & (tma_serializing_operation > 0.1 & (tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))))",
1422de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions. Sample with: MISC_RETIRED.PAUSE_INST",
1423de44486fSIan Rogers        "ScaleUnit": "100%"
1424de44486fSIan Rogers    },
1425de44486fSIan Rogers    {
1426de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
1427bc4e4121SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * LD_BLOCKS.NO_SR / tma_info_thread_clks",
1428de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
1429de44486fSIan Rogers        "MetricName": "tma_split_loads",
1430de44486fSIan Rogers        "MetricThreshold": "tma_split_loads > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1431de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary. Sample with: MEM_INST_RETIRED.SPLIT_LOADS_PS",
1432de44486fSIan Rogers        "ScaleUnit": "100%"
1433de44486fSIan Rogers    },
1434de44486fSIan Rogers    {
1435de44486fSIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
1436*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1437bc4e4121SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.SPLIT_STORES / tma_info_core_core_clks",
1438de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_issueSpSt;tma_store_bound_group",
1439de44486fSIan Rogers        "MetricName": "tma_split_stores",
1440de44486fSIan Rogers        "MetricThreshold": "tma_split_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1441de44486fSIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_INST_RETIRED.SPLIT_STORES_PS. Related metrics: tma_port_4",
1442de44486fSIan Rogers        "ScaleUnit": "100%"
1443de44486fSIan Rogers    },
1444de44486fSIan Rogers    {
1445de44486fSIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
1446bc4e4121SIan Rogers        "MetricExpr": "L1D_PEND_MISS.L2_STALL / tma_info_thread_clks",
1447de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueBW;tma_l3_bound_group",
1448de44486fSIan Rogers        "MetricName": "tma_sq_full",
1449de44486fSIan Rogers        "MetricThreshold": "tma_sq_full > 0.3 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1450bc4e4121SIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). Related metrics: tma_fb_full, tma_info_bottleneck_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth",
1451de44486fSIan Rogers        "ScaleUnit": "100%"
1452de44486fSIan Rogers    },
1453de44486fSIan Rogers    {
1454de44486fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
1455bc4e4121SIan Rogers        "MetricExpr": "EXE_ACTIVITY.BOUND_ON_STORES / tma_info_thread_clks",
1456de44486fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
1457de44486fSIan Rogers        "MetricName": "tma_store_bound",
1458de44486fSIan Rogers        "MetricThreshold": "tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
1459de44486fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_INST_RETIRED.ALL_STORES_PS",
1460de44486fSIan Rogers        "ScaleUnit": "100%"
1461de44486fSIan Rogers    },
1462de44486fSIan Rogers    {
1463de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
1464*9a7d82c1SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1465bc4e4121SIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / tma_info_thread_clks",
1466de44486fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
1467de44486fSIan Rogers        "MetricName": "tma_store_fwd_blk",
1468de44486fSIan Rogers        "MetricThreshold": "tma_store_fwd_blk > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1469de44486fSIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
1470de44486fSIan Rogers        "ScaleUnit": "100%"
1471de44486fSIan Rogers    },
1472de44486fSIan Rogers    {
1473de44486fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
1474bc4e4121SIan Rogers        "MetricExpr": "(L2_RQSTS.RFO_HIT * 10 * (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) + (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / tma_info_thread_clks",
1475de44486fSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_issueSL;tma_store_bound_group",
1476de44486fSIan Rogers        "MetricName": "tma_store_latency",
1477de44486fSIan Rogers        "MetricThreshold": "tma_store_latency > 0.1 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1478de44486fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full). Related metrics: tma_fb_full, tma_lock_latency",
1479de44486fSIan Rogers        "ScaleUnit": "100%"
1480de44486fSIan Rogers    },
1481de44486fSIan Rogers    {
1482de44486fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
1483bc4e4121SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED.PORT_4_9 + UOPS_DISPATCHED.PORT_7_8) / (4 * tma_info_core_core_clks)",
1484de44486fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
1485de44486fSIan Rogers        "MetricName": "tma_store_op_utilization",
1486de44486fSIan Rogers        "MetricThreshold": "tma_store_op_utilization > 0.6",
1487de44486fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations. Sample with: UOPS_DISPATCHED.PORT_7_8",
1488de44486fSIan Rogers        "ScaleUnit": "100%"
1489de44486fSIan Rogers    },
1490de44486fSIan Rogers    {
1491de44486fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the TLB was missed by store accesses, hitting in the second-level TLB (STLB)",
1492de44486fSIan Rogers        "MetricExpr": "tma_dtlb_store - tma_store_stlb_miss",
1493de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
1494de44486fSIan Rogers        "MetricName": "tma_store_stlb_hit",
1495de44486fSIan Rogers        "MetricThreshold": "tma_store_stlb_hit > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1496de44486fSIan Rogers        "ScaleUnit": "100%"
1497de44486fSIan Rogers    },
1498de44486fSIan Rogers    {
1499de44486fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the STLB was missed by store accesses, performing a hardware page walk",
1500bc4e4121SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_ACTIVE / tma_info_core_core_clks",
1501de44486fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
1502de44486fSIan Rogers        "MetricName": "tma_store_stlb_miss",
1503de44486fSIan Rogers        "MetricThreshold": "tma_store_stlb_miss > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1504de44486fSIan Rogers        "ScaleUnit": "100%"
1505de44486fSIan Rogers    },
1506de44486fSIan Rogers    {
1507de44486fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to Streaming store memory accesses; Streaming store optimize out a read request required by RFO stores",
1508bc4e4121SIan Rogers        "MetricExpr": "9 * OCR.STREAMING_WR.ANY_RESPONSE / tma_info_thread_clks",
1509de44486fSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueSmSt;tma_store_bound_group",
1510de44486fSIan Rogers        "MetricName": "tma_streaming_stores",
1511de44486fSIan Rogers        "MetricThreshold": "tma_streaming_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1512de44486fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to Streaming store memory accesses; Streaming store optimize out a read request required by RFO stores. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should Streaming stores be a bottleneck. Sample with: OCR.STREAMING_WR.ANY_RESPONSE. Related metrics: tma_fb_full",
1513de44486fSIan Rogers        "ScaleUnit": "100%"
1514de44486fSIan Rogers    },
1515de44486fSIan Rogers    {
1516de44486fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
1517bc4e4121SIan Rogers        "MetricExpr": "10 * BACLEARS.ANY / tma_info_thread_clks",
1518de44486fSIan Rogers        "MetricGroup": "BigFoot;FetchLat;TopdownL4;tma_L4_group;tma_branch_resteers_group",
1519de44486fSIan Rogers        "MetricName": "tma_unknown_branches",
1520de44486fSIan Rogers        "MetricThreshold": "tma_unknown_branches > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1521de44486fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (e.g. first time the branch is fetched or hitting BTB capacity limit). Sample with: BACLEARS.ANY",
1522de44486fSIan Rogers        "ScaleUnit": "100%"
1523de44486fSIan Rogers    },
1524de44486fSIan Rogers    {
1525de44486fSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
1526de44486fSIan Rogers        "MetricExpr": "tma_retiring * UOPS_EXECUTED.X87 / UOPS_EXECUTED.THREAD",
1527de44486fSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_L4_group;tma_fp_arith_group",
1528de44486fSIan Rogers        "MetricName": "tma_x87_use",
1529de44486fSIan Rogers        "MetricThreshold": "tma_x87_use > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
1530de44486fSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
1531de44486fSIan Rogers        "ScaleUnit": "100%"
1532de44486fSIan Rogers    },
1533de44486fSIan Rogers    {
1534de44486fSIan Rogers        "BriefDescription": "Percentage of cycles in aborted transactions.",
15358076dc8cSIan Rogers        "MetricExpr": "(max(cycles\\-t - cycles\\-ct, 0) / cycles if has_event(cycles\\-t) else 0)",
1536de44486fSIan Rogers        "MetricGroup": "transaction",
1537de44486fSIan Rogers        "MetricName": "tsx_aborted_cycles",
1538de44486fSIan Rogers        "ScaleUnit": "100%"
1539de44486fSIan Rogers    },
1540de44486fSIan Rogers    {
1541de44486fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of elisions.",
15428076dc8cSIan Rogers        "MetricExpr": "(cycles\\-t / el\\-start if has_event(cycles\\-t) else 0)",
1543de44486fSIan Rogers        "MetricGroup": "transaction",
1544de44486fSIan Rogers        "MetricName": "tsx_cycles_per_elision",
1545de44486fSIan Rogers        "ScaleUnit": "1cycles / elision"
1546de44486fSIan Rogers    },
1547de44486fSIan Rogers    {
1548de44486fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of transactions.",
15498076dc8cSIan Rogers        "MetricExpr": "(cycles\\-t / tx\\-start if has_event(cycles\\-t) else 0)",
1550de44486fSIan Rogers        "MetricGroup": "transaction",
1551de44486fSIan Rogers        "MetricName": "tsx_cycles_per_transaction",
1552de44486fSIan Rogers        "ScaleUnit": "1cycles / transaction"
1553de44486fSIan Rogers    },
1554de44486fSIan Rogers    {
1555de44486fSIan Rogers        "BriefDescription": "Percentage of cycles within a transaction region.",
15568076dc8cSIan Rogers        "MetricExpr": "(cycles\\-t / cycles if has_event(cycles\\-t) else 0)",
1557de44486fSIan Rogers        "MetricGroup": "transaction",
1558de44486fSIan Rogers        "MetricName": "tsx_transactional_cycles",
155969f685e0SIan Rogers        "ScaleUnit": "100%"
1560b9efd75bSJin Yao    }
1561b9efd75bSJin Yao]
1562