197dca671SAndi Kleen[
297dca671SAndi Kleen    {
3fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
4*db35c1dcSIan Rogers        "MetricExpr": "IDQ_UOPS_NOT_DELIVERED.CORE / SLOTS",
5*db35c1dcSIan Rogers        "MetricGroup": "PGO;TopdownL1;tma_L1_group",
6*db35c1dcSIan Rogers        "MetricName": "tma_frontend_bound",
7*db35c1dcSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Machine_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound.",
8*db35c1dcSIan Rogers        "ScaleUnit": "100%"
9fd550098SAndi Kleen    },
10fd550098SAndi Kleen    {
11*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
12*db35c1dcSIan Rogers        "MetricExpr": "4 * min(CPU_CLK_UNHALTED.THREAD, IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE) / SLOTS",
13*db35c1dcSIan Rogers        "MetricGroup": "Frontend;TopdownL2;tma_L2_group;tma_frontend_bound_group",
14*db35c1dcSIan Rogers        "MetricName": "tma_fetch_latency",
15*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: RS_EVENTS.EMPTY_END",
16*db35c1dcSIan Rogers        "ScaleUnit": "100%"
17*db35c1dcSIan Rogers    },
18*db35c1dcSIan Rogers    {
19*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
20*db35c1dcSIan Rogers        "MetricExpr": "(12 * ITLB_MISSES.STLB_HIT + ITLB_MISSES.WALK_DURATION) / CLKS",
21*db35c1dcSIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_fetch_latency_group",
22*db35c1dcSIan Rogers        "MetricName": "tma_itlb_misses",
23*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: ITLB_MISSES.WALK_COMPLETED",
24*db35c1dcSIan Rogers        "ScaleUnit": "100%"
25*db35c1dcSIan Rogers    },
26*db35c1dcSIan Rogers    {
27*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
28*db35c1dcSIan Rogers        "MetricExpr": "12 * (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY) / CLKS",
29*db35c1dcSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_fetch_latency_group",
30*db35c1dcSIan Rogers        "MetricName": "tma_branch_resteers",
31*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
32*db35c1dcSIan Rogers        "ScaleUnit": "100%"
33*db35c1dcSIan Rogers    },
34*db35c1dcSIan Rogers    {
35*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
36*db35c1dcSIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / CLKS",
37*db35c1dcSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_fetch_latency_group",
38*db35c1dcSIan Rogers        "MetricName": "tma_dsb_switches",
39*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty.",
40*db35c1dcSIan Rogers        "ScaleUnit": "100%"
41*db35c1dcSIan Rogers    },
42*db35c1dcSIan Rogers    {
43*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
44*db35c1dcSIan Rogers        "MetricExpr": "ILD_STALL.LCP / CLKS",
45*db35c1dcSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_fetch_latency_group",
46*db35c1dcSIan Rogers        "MetricName": "tma_lcp",
47*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs.",
48*db35c1dcSIan Rogers        "ScaleUnit": "100%"
49*db35c1dcSIan Rogers    },
50*db35c1dcSIan Rogers    {
51*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
52*db35c1dcSIan Rogers        "MetricExpr": "3 * IDQ.MS_SWITCHES / CLKS",
53*db35c1dcSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_fetch_latency_group",
54*db35c1dcSIan Rogers        "MetricName": "tma_ms_switches",
55*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES",
56*db35c1dcSIan Rogers        "ScaleUnit": "100%"
57*db35c1dcSIan Rogers    },
58*db35c1dcSIan Rogers    {
59*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
60*db35c1dcSIan Rogers        "MetricExpr": "tma_frontend_bound - tma_fetch_latency",
61*db35c1dcSIan Rogers        "MetricGroup": "FetchBW;Frontend;TopdownL2;tma_L2_group;tma_frontend_bound_group",
62*db35c1dcSIan Rogers        "MetricName": "tma_fetch_bandwidth",
63*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend.",
64*db35c1dcSIan Rogers        "ScaleUnit": "100%"
65fd550098SAndi Kleen    },
66fd550098SAndi Kleen    {
67fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
68*db35c1dcSIan Rogers        "MetricExpr": "(UOPS_ISSUED.ANY - UOPS_RETIRED.RETIRE_SLOTS + 4 * ((INT_MISC.RECOVERY_CYCLES_ANY / 2) if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / SLOTS",
69*db35c1dcSIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
70*db35c1dcSIan Rogers        "MetricName": "tma_bad_speculation",
71*db35c1dcSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
72*db35c1dcSIan Rogers        "ScaleUnit": "100%"
73fd550098SAndi Kleen    },
74fd550098SAndi Kleen    {
75*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
76*db35c1dcSIan Rogers        "MetricExpr": "(BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * tma_bad_speculation",
77*db35c1dcSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL2;tma_L2_group;tma_bad_speculation_group",
78*db35c1dcSIan Rogers        "MetricName": "tma_branch_mispredicts",
79*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
80*db35c1dcSIan Rogers        "ScaleUnit": "100%"
81*db35c1dcSIan Rogers    },
82*db35c1dcSIan Rogers    {
83*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
84*db35c1dcSIan Rogers        "MetricExpr": "tma_bad_speculation - tma_branch_mispredicts",
85*db35c1dcSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL2;tma_L2_group;tma_bad_speculation_group",
86*db35c1dcSIan Rogers        "MetricName": "tma_machine_clears",
87*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT",
88*db35c1dcSIan Rogers        "ScaleUnit": "100%"
89fd550098SAndi Kleen    },
90fd550098SAndi Kleen    {
91fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
92*db35c1dcSIan Rogers        "MetricExpr": "1 - (tma_frontend_bound + tma_bad_speculation + tma_retiring)",
93*db35c1dcSIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
94*db35c1dcSIan Rogers        "MetricName": "tma_backend_bound",
95*db35c1dcSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound.",
96*db35c1dcSIan Rogers        "ScaleUnit": "100%"
97fd550098SAndi Kleen    },
98fd550098SAndi Kleen    {
99*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
100*db35c1dcSIan Rogers        "MetricExpr": "((min(CPU_CLK_UNHALTED.THREAD, CYCLE_ACTIVITY.STALLS_L1D_PENDING) + RESOURCE_STALLS.SB) / (min(CPU_CLK_UNHALTED.THREAD, CYCLE_ACTIVITY.CYCLES_NO_DISPATCH) + cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=1@ - cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=3@ if (IPC > 1.8) else cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=2@ - RS_EVENTS.EMPTY_CYCLES if (tma_fetch_latency > 0.1) else RESOURCE_STALLS.SB)) * tma_backend_bound",
101*db35c1dcSIan Rogers        "MetricGroup": "Backend;TopdownL2;tma_L2_group;tma_backend_bound_group",
102*db35c1dcSIan Rogers        "MetricName": "tma_memory_bound",
103*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
104*db35c1dcSIan Rogers        "ScaleUnit": "100%"
105*db35c1dcSIan Rogers    },
106*db35c1dcSIan Rogers    {
107*db35c1dcSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
108*db35c1dcSIan Rogers        "MetricExpr": "(7 * DTLB_LOAD_MISSES.STLB_HIT + DTLB_LOAD_MISSES.WALK_DURATION) / CLKS",
109*db35c1dcSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_l1_bound_group",
110*db35c1dcSIan Rogers        "MetricName": "tma_dtlb_load",
111*db35c1dcSIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_UOPS_RETIRED.STLB_MISS_LOADS_PS",
112*db35c1dcSIan Rogers        "ScaleUnit": "100%"
113*db35c1dcSIan Rogers    },
114*db35c1dcSIan Rogers    {
115*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
116*db35c1dcSIan Rogers        "MetricExpr": "(MEM_LOAD_UOPS_RETIRED.LLC_HIT / (MEM_LOAD_UOPS_RETIRED.LLC_HIT + 7 * MEM_LOAD_UOPS_MISC_RETIRED.LLC_MISS)) * CYCLE_ACTIVITY.STALLS_L2_PENDING / CLKS",
117*db35c1dcSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
118*db35c1dcSIan Rogers        "MetricName": "tma_l3_bound",
119*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_HIT_PS",
120*db35c1dcSIan Rogers        "ScaleUnit": "100%"
121*db35c1dcSIan Rogers    },
122*db35c1dcSIan Rogers    {
123*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
124*db35c1dcSIan Rogers        "MetricExpr": "(1 - (MEM_LOAD_UOPS_RETIRED.LLC_HIT / (MEM_LOAD_UOPS_RETIRED.LLC_HIT + 7 * MEM_LOAD_UOPS_MISC_RETIRED.LLC_MISS))) * CYCLE_ACTIVITY.STALLS_L2_PENDING / CLKS",
125*db35c1dcSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
126*db35c1dcSIan Rogers        "MetricName": "tma_dram_bound",
127*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_MISS_PS",
128*db35c1dcSIan Rogers        "ScaleUnit": "100%"
129*db35c1dcSIan Rogers    },
130*db35c1dcSIan Rogers    {
131*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
132*db35c1dcSIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=6@) / CLKS",
133*db35c1dcSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_dram_bound_group",
134*db35c1dcSIan Rogers        "MetricName": "tma_mem_bandwidth",
135*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that).",
136*db35c1dcSIan Rogers        "ScaleUnit": "100%"
137*db35c1dcSIan Rogers    },
138*db35c1dcSIan Rogers    {
139*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
140*db35c1dcSIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / CLKS - tma_mem_bandwidth",
141*db35c1dcSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_dram_bound_group",
142*db35c1dcSIan Rogers        "MetricName": "tma_mem_latency",
143*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that).",
144*db35c1dcSIan Rogers        "ScaleUnit": "100%"
145*db35c1dcSIan Rogers    },
146*db35c1dcSIan Rogers    {
147*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
148*db35c1dcSIan Rogers        "MetricExpr": "RESOURCE_STALLS.SB / CLKS",
149*db35c1dcSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
150*db35c1dcSIan Rogers        "MetricName": "tma_store_bound",
151*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_UOPS_RETIRED.ALL_STORES_PS",
152*db35c1dcSIan Rogers        "ScaleUnit": "100%"
153*db35c1dcSIan Rogers    },
154*db35c1dcSIan Rogers    {
155*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
156*db35c1dcSIan Rogers        "MetricExpr": "tma_backend_bound - tma_memory_bound",
157*db35c1dcSIan Rogers        "MetricGroup": "Backend;Compute;TopdownL2;tma_L2_group;tma_backend_bound_group",
158*db35c1dcSIan Rogers        "MetricName": "tma_core_bound",
159*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
160*db35c1dcSIan Rogers        "ScaleUnit": "100%"
161*db35c1dcSIan Rogers    },
162*db35c1dcSIan Rogers    {
163*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
164*db35c1dcSIan Rogers        "MetricExpr": "ARITH.FPU_DIV_ACTIVE / CORE_CLKS",
165*db35c1dcSIan Rogers        "MetricGroup": "TopdownL3;tma_core_bound_group",
166*db35c1dcSIan Rogers        "MetricName": "tma_divider",
167*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_UOPS",
168*db35c1dcSIan Rogers        "ScaleUnit": "100%"
169*db35c1dcSIan Rogers    },
170*db35c1dcSIan Rogers    {
171*db35c1dcSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
172*db35c1dcSIan Rogers        "MetricExpr": "((min(CPU_CLK_UNHALTED.THREAD, CYCLE_ACTIVITY.CYCLES_NO_DISPATCH) + cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=1@ - cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=3@ if (IPC > 1.8) else cpu@UOPS_DISPATCHED.THREAD\\,cmask\\=2@ - RS_EVENTS.EMPTY_CYCLES if (tma_fetch_latency > 0.1) else RESOURCE_STALLS.SB) - RESOURCE_STALLS.SB - min(CPU_CLK_UNHALTED.THREAD, CYCLE_ACTIVITY.STALLS_L1D_PENDING)) / CLKS",
173*db35c1dcSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_core_bound_group",
174*db35c1dcSIan Rogers        "MetricName": "tma_ports_utilization",
175*db35c1dcSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
176*db35c1dcSIan Rogers        "ScaleUnit": "100%"
177fd550098SAndi Kleen    },
178fd550098SAndi Kleen    {
179fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
180*db35c1dcSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / SLOTS",
181*db35c1dcSIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
182*db35c1dcSIan Rogers        "MetricName": "tma_retiring",
183*db35c1dcSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided.  Sample with: UOPS_RETIRED.RETIRE_SLOTS",
184*db35c1dcSIan Rogers        "ScaleUnit": "100%"
185fd550098SAndi Kleen    },
186fd550098SAndi Kleen    {
187*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
188*db35c1dcSIan Rogers        "MetricExpr": "tma_retiring - tma_heavy_operations",
189*db35c1dcSIan Rogers        "MetricGroup": "Retire;TopdownL2;tma_L2_group;tma_retiring_group",
190*db35c1dcSIan Rogers        "MetricName": "tma_light_operations",
191*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
192*db35c1dcSIan Rogers        "ScaleUnit": "100%"
193*db35c1dcSIan Rogers    },
194*db35c1dcSIan Rogers    {
195*db35c1dcSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
196*db35c1dcSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
197*db35c1dcSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_light_operations_group",
198*db35c1dcSIan Rogers        "MetricName": "tma_fp_arith",
199*db35c1dcSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
200*db35c1dcSIan Rogers        "ScaleUnit": "100%"
201*db35c1dcSIan Rogers    },
202*db35c1dcSIan Rogers    {
203*db35c1dcSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
204*db35c1dcSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS * FP_COMP_OPS_EXE.X87 / UOPS_DISPATCHED.THREAD",
205*db35c1dcSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_fp_arith_group",
206*db35c1dcSIan Rogers        "MetricName": "tma_x87_use",
207*db35c1dcSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
208*db35c1dcSIan Rogers        "ScaleUnit": "100%"
209*db35c1dcSIan Rogers    },
210*db35c1dcSIan Rogers    {
211*db35c1dcSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
212*db35c1dcSIan Rogers        "MetricExpr": "(FP_COMP_OPS_EXE.SSE_SCALAR_SINGLE + FP_COMP_OPS_EXE.SSE_SCALAR_DOUBLE) / UOPS_DISPATCHED.THREAD",
213*db35c1dcSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_fp_arith_group",
214*db35c1dcSIan Rogers        "MetricName": "tma_fp_scalar",
215*db35c1dcSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting.",
216*db35c1dcSIan Rogers        "ScaleUnit": "100%"
217*db35c1dcSIan Rogers    },
218*db35c1dcSIan Rogers    {
219*db35c1dcSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
220*db35c1dcSIan Rogers        "MetricExpr": "(FP_COMP_OPS_EXE.SSE_PACKED_DOUBLE + FP_COMP_OPS_EXE.SSE_PACKED_SINGLE + SIMD_FP_256.PACKED_SINGLE + SIMD_FP_256.PACKED_DOUBLE) / UOPS_DISPATCHED.THREAD",
221*db35c1dcSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_fp_arith_group",
222*db35c1dcSIan Rogers        "MetricName": "tma_fp_vector",
223*db35c1dcSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting.",
224*db35c1dcSIan Rogers        "ScaleUnit": "100%"
225*db35c1dcSIan Rogers    },
226*db35c1dcSIan Rogers    {
227*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or microcoded sequences",
228*db35c1dcSIan Rogers        "MetricExpr": "tma_microcode_sequencer",
229*db35c1dcSIan Rogers        "MetricGroup": "Retire;TopdownL2;tma_L2_group;tma_retiring_group",
230*db35c1dcSIan Rogers        "MetricName": "tma_heavy_operations",
231*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or microcoded sequences. This highly-correlates with the uop length of these instructions/sequences.",
232*db35c1dcSIan Rogers        "ScaleUnit": "100%"
233*db35c1dcSIan Rogers    },
234*db35c1dcSIan Rogers    {
235*db35c1dcSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
236*db35c1dcSIan Rogers        "MetricExpr": "(UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY) * IDQ.MS_UOPS / SLOTS",
237*db35c1dcSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_heavy_operations_group",
238*db35c1dcSIan Rogers        "MetricName": "tma_microcode_sequencer",
239*db35c1dcSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS",
240*db35c1dcSIan Rogers        "ScaleUnit": "100%"
241fd550098SAndi Kleen    },
242fd550098SAndi Kleen    {
24361ec07f5SHaiyan Song        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
244*db35c1dcSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / CLKS",
245b5948fc6SIan Rogers        "MetricGroup": "Ret;Summary",
24697dca671SAndi Kleen        "MetricName": "IPC"
24797dca671SAndi Kleen    },
24897dca671SAndi Kleen    {
249fd550098SAndi Kleen        "BriefDescription": "Uops Per Instruction",
25061ec07f5SHaiyan Song        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / INST_RETIRED.ANY",
251b5948fc6SIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
25297dca671SAndi Kleen        "MetricName": "UPI"
25397dca671SAndi Kleen    },
25497dca671SAndi Kleen    {
25561ec07f5SHaiyan Song        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
256*db35c1dcSIan Rogers        "MetricExpr": "1 / IPC",
257*db35c1dcSIan Rogers        "MetricGroup": "Mem;Pipeline",
25897dca671SAndi Kleen        "MetricName": "CPI"
25997dca671SAndi Kleen    },
26097dca671SAndi Kleen    {
26161ec07f5SHaiyan Song        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
26297dca671SAndi Kleen        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
263b5948fc6SIan Rogers        "MetricGroup": "Pipeline",
26497dca671SAndi Kleen        "MetricName": "CLKS"
26597dca671SAndi Kleen    },
26697dca671SAndi Kleen    {
267b5948fc6SIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
268*db35c1dcSIan Rogers        "MetricExpr": "4 * CORE_CLKS",
269*db35c1dcSIan Rogers        "MetricGroup": "tma_L1_group",
27097dca671SAndi Kleen        "MetricName": "SLOTS"
27197dca671SAndi Kleen    },
27297dca671SAndi Kleen    {
273b5948fc6SIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
274b5948fc6SIan Rogers        "MetricExpr": "UOPS_DISPATCHED.THREAD / UOPS_ISSUED.ANY",
275b5948fc6SIan Rogers        "MetricGroup": "Cor;Pipeline",
276b5948fc6SIan Rogers        "MetricName": "Execute_per_Issue",
277b5948fc6SIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
27897dca671SAndi Kleen    },
27997dca671SAndi Kleen    {
280b5948fc6SIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
281*db35c1dcSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / CORE_CLKS",
282*db35c1dcSIan Rogers        "MetricGroup": "Ret;SMT;tma_L1_group",
28397dca671SAndi Kleen        "MetricName": "CoreIPC"
28497dca671SAndi Kleen    },
28597dca671SAndi Kleen    {
286fd550098SAndi Kleen        "BriefDescription": "Floating Point Operations Per Cycle",
287*db35c1dcSIan Rogers        "MetricExpr": "(1 * (FP_COMP_OPS_EXE.SSE_SCALAR_SINGLE + FP_COMP_OPS_EXE.SSE_SCALAR_DOUBLE) + 2 * FP_COMP_OPS_EXE.SSE_PACKED_DOUBLE + 4 * (FP_COMP_OPS_EXE.SSE_PACKED_SINGLE + SIMD_FP_256.PACKED_DOUBLE) + 8 * SIMD_FP_256.PACKED_SINGLE) / CORE_CLKS",
288*db35c1dcSIan Rogers        "MetricGroup": "Flops;Ret",
289fd550098SAndi Kleen        "MetricName": "FLOPc"
290fd550098SAndi Kleen    },
291fd550098SAndi Kleen    {
292777e1312SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
29361ec07f5SHaiyan Song        "MetricExpr": "UOPS_DISPATCHED.THREAD / ((cpu@UOPS_DISPATCHED.CORE\\,cmask\\=1@ / 2) if #SMT_on else cpu@UOPS_DISPATCHED.CORE\\,cmask\\=1@)",
294b5948fc6SIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
29597dca671SAndi Kleen        "MetricName": "ILP"
29697dca671SAndi Kleen    },
29797dca671SAndi Kleen    {
29861ec07f5SHaiyan Song        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
299*db35c1dcSIan Rogers        "MetricExpr": "((CPU_CLK_UNHALTED.THREAD / 2) * (1 + CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_XCLK)) if #core_wide < 1 else (CPU_CLK_UNHALTED.THREAD_ANY / 2) if #SMT_on else CLKS",
30097dca671SAndi Kleen        "MetricGroup": "SMT",
30197dca671SAndi Kleen        "MetricName": "CORE_CLKS"
30297dca671SAndi Kleen    },
30397dca671SAndi Kleen    {
304*db35c1dcSIan Rogers        "BriefDescription": "Total number of retired Instructions Sample with: INST_RETIRED.PREC_DIST",
305b5948fc6SIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
306*db35c1dcSIan Rogers        "MetricGroup": "Summary;tma_L1_group",
307b5948fc6SIan Rogers        "MetricName": "Instructions"
308b5948fc6SIan Rogers    },
309b5948fc6SIan Rogers    {
310777e1312SIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
311777e1312SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / cpu@UOPS_RETIRED.RETIRE_SLOTS\\,cmask\\=1@",
312777e1312SIan Rogers        "MetricGroup": "Pipeline;Ret",
313777e1312SIan Rogers        "MetricName": "Retire"
314777e1312SIan Rogers    },
315777e1312SIan Rogers    {
316b5948fc6SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
317b5948fc6SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / ((IDQ.DSB_UOPS + LSD.UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS))",
318b5948fc6SIan Rogers        "MetricGroup": "DSB;Fed;FetchBW",
319b5948fc6SIan Rogers        "MetricName": "DSB_Coverage"
320b5948fc6SIan Rogers    },
321b5948fc6SIan Rogers    {
322fd550098SAndi Kleen        "BriefDescription": "Average CPU Utilization",
32361ec07f5SHaiyan Song        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / msr@tsc@",
324b5948fc6SIan Rogers        "MetricGroup": "HPC;Summary",
32597dca671SAndi Kleen        "MetricName": "CPU_Utilization"
32697dca671SAndi Kleen    },
32797dca671SAndi Kleen    {
328b5948fc6SIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
329*db35c1dcSIan Rogers        "MetricExpr": "Turbo_Utilization * msr@tsc@ / 1000000000 / duration_time",
330*db35c1dcSIan Rogers        "MetricGroup": "Power;Summary",
331b5948fc6SIan Rogers        "MetricName": "Average_Frequency"
332b5948fc6SIan Rogers    },
333b5948fc6SIan Rogers    {
33497dca671SAndi Kleen        "BriefDescription": "Giga Floating Point Operations Per Second",
335b5948fc6SIan Rogers        "MetricExpr": "((1 * (FP_COMP_OPS_EXE.SSE_SCALAR_SINGLE + FP_COMP_OPS_EXE.SSE_SCALAR_DOUBLE) + 2 * FP_COMP_OPS_EXE.SSE_PACKED_DOUBLE + 4 * (FP_COMP_OPS_EXE.SSE_PACKED_SINGLE + SIMD_FP_256.PACKED_DOUBLE) + 8 * SIMD_FP_256.PACKED_SINGLE) / 1000000000) / duration_time",
336b5948fc6SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
337777e1312SIan Rogers        "MetricName": "GFLOPs",
338777e1312SIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
33997dca671SAndi Kleen    },
34097dca671SAndi Kleen    {
341fd550098SAndi Kleen        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
342*db35c1dcSIan Rogers        "MetricExpr": "CLKS / CPU_CLK_UNHALTED.REF_TSC",
34397dca671SAndi Kleen        "MetricGroup": "Power",
34497dca671SAndi Kleen        "MetricName": "Turbo_Utilization"
34597dca671SAndi Kleen    },
34697dca671SAndi Kleen    {
34761ec07f5SHaiyan Song        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
348b5948fc6SIan Rogers        "MetricExpr": "1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / (CPU_CLK_UNHALTED.REF_XCLK_ANY / 2) if #SMT_on else 0",
349b5948fc6SIan Rogers        "MetricGroup": "SMT",
35097dca671SAndi Kleen        "MetricName": "SMT_2T_Utilization"
35197dca671SAndi Kleen    },
35297dca671SAndi Kleen    {
353b5948fc6SIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
354b5948fc6SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
355b5948fc6SIan Rogers        "MetricGroup": "OS",
35697dca671SAndi Kleen        "MetricName": "Kernel_Utilization"
35797dca671SAndi Kleen    },
35897dca671SAndi Kleen    {
359b5948fc6SIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
360b5948fc6SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
361b5948fc6SIan Rogers        "MetricGroup": "OS",
362b5948fc6SIan Rogers        "MetricName": "Kernel_CPI"
363b5948fc6SIan Rogers    },
364b5948fc6SIan Rogers    {
365fd550098SAndi Kleen        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
36661ec07f5SHaiyan Song        "MetricExpr": "64 * (arb@event\\=0x81\\,umask\\=0x1@ + arb@event\\=0x84\\,umask\\=0x1@) / 1000000 / duration_time / 1000",
367b5948fc6SIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC",
368fd550098SAndi Kleen        "MetricName": "DRAM_BW_Use"
369fd550098SAndi Kleen    },
370fd550098SAndi Kleen    {
371b5948fc6SIan Rogers        "BriefDescription": "Average latency of all requests to external memory (in Uncore cycles)",
372b5948fc6SIan Rogers        "MetricExpr": "UNC_ARB_TRK_OCCUPANCY.ALL / arb@event\\=0x81\\,umask\\=0x1@",
373b5948fc6SIan Rogers        "MetricGroup": "Mem;SoC",
374b5948fc6SIan Rogers        "MetricName": "MEM_Request_Latency"
375b5948fc6SIan Rogers    },
376b5948fc6SIan Rogers    {
377b5948fc6SIan Rogers        "BriefDescription": "Average number of parallel requests to external memory. Accounts for all requests",
378b5948fc6SIan Rogers        "MetricExpr": "UNC_ARB_TRK_OCCUPANCY.ALL / arb@event\\=0x81\\,umask\\=0x1@",
379b5948fc6SIan Rogers        "MetricGroup": "Mem;SoC",
380b5948fc6SIan Rogers        "MetricName": "MEM_Parallel_Requests"
381b5948fc6SIan Rogers    },
382b5948fc6SIan Rogers    {
383b5948fc6SIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
384b5948fc6SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
385b5948fc6SIan Rogers        "MetricGroup": "Branches;OS",
386b5948fc6SIan Rogers        "MetricName": "IpFarBranch"
387b5948fc6SIan Rogers    },
388b5948fc6SIan Rogers    {
38961ec07f5SHaiyan Song        "BriefDescription": "C3 residency percent per core",
39097dca671SAndi Kleen        "MetricExpr": "(cstate_core@c3\\-residency@ / msr@tsc@) * 100",
39197dca671SAndi Kleen        "MetricGroup": "Power",
39297dca671SAndi Kleen        "MetricName": "C3_Core_Residency"
39397dca671SAndi Kleen    },
39497dca671SAndi Kleen    {
39561ec07f5SHaiyan Song        "BriefDescription": "C6 residency percent per core",
39697dca671SAndi Kleen        "MetricExpr": "(cstate_core@c6\\-residency@ / msr@tsc@) * 100",
39797dca671SAndi Kleen        "MetricGroup": "Power",
39897dca671SAndi Kleen        "MetricName": "C6_Core_Residency"
39997dca671SAndi Kleen    },
40097dca671SAndi Kleen    {
40161ec07f5SHaiyan Song        "BriefDescription": "C7 residency percent per core",
40297dca671SAndi Kleen        "MetricExpr": "(cstate_core@c7\\-residency@ / msr@tsc@) * 100",
40397dca671SAndi Kleen        "MetricGroup": "Power",
40497dca671SAndi Kleen        "MetricName": "C7_Core_Residency"
40597dca671SAndi Kleen    },
40697dca671SAndi Kleen    {
40761ec07f5SHaiyan Song        "BriefDescription": "C2 residency percent per package",
40897dca671SAndi Kleen        "MetricExpr": "(cstate_pkg@c2\\-residency@ / msr@tsc@) * 100",
40997dca671SAndi Kleen        "MetricGroup": "Power",
41097dca671SAndi Kleen        "MetricName": "C2_Pkg_Residency"
41197dca671SAndi Kleen    },
41297dca671SAndi Kleen    {
41361ec07f5SHaiyan Song        "BriefDescription": "C3 residency percent per package",
41497dca671SAndi Kleen        "MetricExpr": "(cstate_pkg@c3\\-residency@ / msr@tsc@) * 100",
41597dca671SAndi Kleen        "MetricGroup": "Power",
41697dca671SAndi Kleen        "MetricName": "C3_Pkg_Residency"
41797dca671SAndi Kleen    },
41897dca671SAndi Kleen    {
41961ec07f5SHaiyan Song        "BriefDescription": "C6 residency percent per package",
42097dca671SAndi Kleen        "MetricExpr": "(cstate_pkg@c6\\-residency@ / msr@tsc@) * 100",
42197dca671SAndi Kleen        "MetricGroup": "Power",
42297dca671SAndi Kleen        "MetricName": "C6_Pkg_Residency"
42397dca671SAndi Kleen    },
42497dca671SAndi Kleen    {
42561ec07f5SHaiyan Song        "BriefDescription": "C7 residency percent per package",
42697dca671SAndi Kleen        "MetricExpr": "(cstate_pkg@c7\\-residency@ / msr@tsc@) * 100",
42797dca671SAndi Kleen        "MetricGroup": "Power",
42897dca671SAndi Kleen        "MetricName": "C7_Pkg_Residency"
42997dca671SAndi Kleen    }
43097dca671SAndi Kleen]
431