16d75abd3SAndi Kleen[
26d75abd3SAndi Kleen    {
346db21afSIan Rogers        "BriefDescription": "C2 residency percent per package",
446db21afSIan Rogers        "MetricExpr": "cstate_pkg@c2\\-residency@ / TSC",
56d75abd3SAndi Kleen        "MetricGroup": "Power",
646db21afSIan Rogers        "MetricName": "C2_Pkg_Residency",
75e241aadSIan Rogers        "ScaleUnit": "100%"
85e241aadSIan Rogers    },
95e241aadSIan Rogers    {
105e241aadSIan Rogers        "BriefDescription": "C3 residency percent per core",
115e241aadSIan Rogers        "MetricExpr": "cstate_core@c3\\-residency@ / TSC",
125e241aadSIan Rogers        "MetricGroup": "Power",
135e241aadSIan Rogers        "MetricName": "C3_Core_Residency",
145e241aadSIan Rogers        "ScaleUnit": "100%"
155e241aadSIan Rogers    },
165e241aadSIan Rogers    {
175e241aadSIan Rogers        "BriefDescription": "C3 residency percent per package",
185e241aadSIan Rogers        "MetricExpr": "cstate_pkg@c3\\-residency@ / TSC",
195e241aadSIan Rogers        "MetricGroup": "Power",
205e241aadSIan Rogers        "MetricName": "C3_Pkg_Residency",
215e241aadSIan Rogers        "ScaleUnit": "100%"
225e241aadSIan Rogers    },
235e241aadSIan Rogers    {
2446db21afSIan Rogers        "BriefDescription": "C6 residency percent per core",
2546db21afSIan Rogers        "MetricExpr": "cstate_core@c6\\-residency@ / TSC",
2646db21afSIan Rogers        "MetricGroup": "Power",
2746db21afSIan Rogers        "MetricName": "C6_Core_Residency",
2846db21afSIan Rogers        "ScaleUnit": "100%"
2946db21afSIan Rogers    },
3046db21afSIan Rogers    {
315e241aadSIan Rogers        "BriefDescription": "C6 residency percent per package",
325e241aadSIan Rogers        "MetricExpr": "cstate_pkg@c6\\-residency@ / TSC",
335e241aadSIan Rogers        "MetricGroup": "Power",
345e241aadSIan Rogers        "MetricName": "C6_Pkg_Residency",
355e241aadSIan Rogers        "ScaleUnit": "100%"
365e241aadSIan Rogers    },
375e241aadSIan Rogers    {
3846db21afSIan Rogers        "BriefDescription": "C7 residency percent per core",
3946db21afSIan Rogers        "MetricExpr": "cstate_core@c7\\-residency@ / TSC",
4046db21afSIan Rogers        "MetricGroup": "Power",
4146db21afSIan Rogers        "MetricName": "C7_Core_Residency",
4246db21afSIan Rogers        "ScaleUnit": "100%"
4346db21afSIan Rogers    },
4446db21afSIan Rogers    {
455e241aadSIan Rogers        "BriefDescription": "C7 residency percent per package",
465e241aadSIan Rogers        "MetricExpr": "cstate_pkg@c7\\-residency@ / TSC",
475e241aadSIan Rogers        "MetricGroup": "Power",
485e241aadSIan Rogers        "MetricName": "C7_Pkg_Residency",
495e241aadSIan Rogers        "ScaleUnit": "100%"
5046db21afSIan Rogers    },
5146db21afSIan Rogers    {
5246db21afSIan Rogers        "BriefDescription": "Uncore frequency per die [GHZ]",
53*7d124303SIan Rogers        "MetricExpr": "tma_info_system_socket_clks / #num_dies / duration_time / 1e9",
5446db21afSIan Rogers        "MetricGroup": "SoC",
5546db21afSIan Rogers        "MetricName": "UNCORE_FREQ"
5646db21afSIan Rogers    },
5746db21afSIan Rogers    {
58*7d124303SIan Rogers        "BriefDescription": "Cycles per instruction retired; indicating how much time each executed instruction took; in units of cycles.",
59*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / INST_RETIRED.ANY",
60*7d124303SIan Rogers        "MetricName": "cpi",
61*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
62*7d124303SIan Rogers    },
63*7d124303SIan Rogers    {
64*7d124303SIan Rogers        "BriefDescription": "CPU operating frequency (in GHz)",
65*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / CPU_CLK_UNHALTED.REF_TSC * #SYSTEM_TSC_FREQ / 1e9",
66*7d124303SIan Rogers        "MetricName": "cpu_operating_frequency",
67*7d124303SIan Rogers        "ScaleUnit": "1GHz"
68*7d124303SIan Rogers    },
69*7d124303SIan Rogers    {
70*7d124303SIan Rogers        "BriefDescription": "Percentage of time spent in the active CPU power state C0",
71*7d124303SIan Rogers        "MetricExpr": "tma_info_system_cpu_utilization",
72*7d124303SIan Rogers        "MetricName": "cpu_utilization",
73*7d124303SIan Rogers        "ScaleUnit": "100%"
74*7d124303SIan Rogers    },
75*7d124303SIan Rogers    {
76*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions",
77*7d124303SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
78*7d124303SIan Rogers        "MetricName": "dtlb_load_mpi",
79*7d124303SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
80*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
81*7d124303SIan Rogers    },
82*7d124303SIan Rogers    {
83*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions",
84*7d124303SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
85*7d124303SIan Rogers        "MetricName": "dtlb_store_mpi",
86*7d124303SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
87*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
88*7d124303SIan Rogers    },
89*7d124303SIan Rogers    {
90*7d124303SIan Rogers        "BriefDescription": "Bandwidth of IO reads that are initiated by end device controllers that are requesting memory from the CPU.",
91*7d124303SIan Rogers        "MetricExpr": "cbox@UNC_C_TOR_INSERTS.OPCODE\\,filter_opc\\=0x19e@ * 64 / 1e6 / duration_time",
92*7d124303SIan Rogers        "MetricName": "io_bandwidth_read",
93*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
94*7d124303SIan Rogers    },
95*7d124303SIan Rogers    {
96*7d124303SIan Rogers        "BriefDescription": "Bandwidth of IO writes that are initiated by end device controllers that are writing memory to the CPU.",
97*7d124303SIan Rogers        "MetricExpr": "(cbox@UNC_C_TOR_INSERTS.OPCODE\\,filter_opc\\=0x1c8\\,filter_tid\\=0x3e@ + cbox@UNC_C_TOR_INSERTS.OPCODE\\,filter_opc\\=0x180\\,filter_tid\\=0x3e@) * 64 / 1e6 / duration_time",
98*7d124303SIan Rogers        "MetricName": "io_bandwidth_write",
99*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
100*7d124303SIan Rogers    },
101*7d124303SIan Rogers    {
102*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions",
103*7d124303SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED_2M_4M / INST_RETIRED.ANY",
104*7d124303SIan Rogers        "MetricName": "itlb_large_page_mpi",
105*7d124303SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the Instruction Translation Lookaside Buffer (ITLB) and further levels of TLB.",
106*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
107*7d124303SIan Rogers    },
108*7d124303SIan Rogers    {
109*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions",
110*7d124303SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
111*7d124303SIan Rogers        "MetricName": "itlb_mpi",
112*7d124303SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB.",
113*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
114*7d124303SIan Rogers    },
115*7d124303SIan Rogers    {
116*7d124303SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing in L1 instruction cache (includes prefetches) to the total number of completed instructions",
117*7d124303SIan Rogers        "MetricExpr": "L2_RQSTS.ALL_CODE_RD / INST_RETIRED.ANY",
118*7d124303SIan Rogers        "MetricName": "l1_i_code_read_misses_with_prefetches_per_instr",
119*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
120*7d124303SIan Rogers    },
121*7d124303SIan Rogers    {
122*7d124303SIan Rogers        "BriefDescription": "Ratio of number of demand load requests hitting in L1 data cache to the total number of completed instructions",
123*7d124303SIan Rogers        "MetricExpr": "MEM_LOAD_UOPS_RETIRED.L1_HIT / INST_RETIRED.ANY",
124*7d124303SIan Rogers        "MetricName": "l1d_demand_data_read_hits_per_instr",
125*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
126*7d124303SIan Rogers    },
127*7d124303SIan Rogers    {
128*7d124303SIan Rogers        "BriefDescription": "Ratio of number of requests missing L1 data cache (includes data+rfo w/ prefetches) to the total number of completed instructions",
129*7d124303SIan Rogers        "MetricExpr": "L1D.REPLACEMENT / INST_RETIRED.ANY",
130*7d124303SIan Rogers        "MetricName": "l1d_mpi",
131*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
132*7d124303SIan Rogers    },
133*7d124303SIan Rogers    {
134*7d124303SIan Rogers        "BriefDescription": "Ratio of number of code read request missing L2 cache to the total number of completed instructions",
135*7d124303SIan Rogers        "MetricExpr": "L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
136*7d124303SIan Rogers        "MetricName": "l2_demand_code_mpi",
137*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
138*7d124303SIan Rogers    },
139*7d124303SIan Rogers    {
140*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed demand load requests hitting in L2 cache to the total number of completed instructions",
141*7d124303SIan Rogers        "MetricExpr": "MEM_LOAD_UOPS_RETIRED.L2_HIT / INST_RETIRED.ANY",
142*7d124303SIan Rogers        "MetricName": "l2_demand_data_read_hits_per_instr",
143*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
144*7d124303SIan Rogers    },
145*7d124303SIan Rogers    {
146*7d124303SIan Rogers        "BriefDescription": "Ratio of number of completed data read request missing L2 cache to the total number of completed instructions",
147*7d124303SIan Rogers        "MetricExpr": "MEM_LOAD_UOPS_RETIRED.L2_MISS / INST_RETIRED.ANY",
148*7d124303SIan Rogers        "MetricName": "l2_demand_data_read_mpi",
149*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
150*7d124303SIan Rogers    },
151*7d124303SIan Rogers    {
152*7d124303SIan Rogers        "BriefDescription": "Ratio of number of requests missing L2 cache (includes code+data+rfo w/ prefetches) to the total number of completed instructions",
153*7d124303SIan Rogers        "MetricExpr": "L2_LINES_IN.ALL / INST_RETIRED.ANY",
154*7d124303SIan Rogers        "MetricName": "l2_mpi",
155*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
156*7d124303SIan Rogers    },
157*7d124303SIan Rogers    {
158*7d124303SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
159*7d124303SIan Rogers        "MetricExpr": "(cbox@UNC_C_TOR_INSERTS.MISS_OPCODE\\,filter_opc\\=0x181@ + cbox@UNC_C_TOR_INSERTS.MISS_OPCODE\\,filter_opc\\=0x191@) / INST_RETIRED.ANY",
160*7d124303SIan Rogers        "MetricName": "llc_code_read_mpi_demand_plus_prefetch",
161*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
162*7d124303SIan Rogers    },
163*7d124303SIan Rogers    {
164*7d124303SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) in nano seconds",
165*7d124303SIan Rogers        "MetricExpr": "1e9 * (cbox@UNC_C_TOR_OCCUPANCY.MISS_OPCODE\\,filter_opc\\=0x182@ / cbox@UNC_C_TOR_INSERTS.MISS_OPCODE\\,filter_opc\\=0x182@) / (UNC_C_CLOCKTICKS / (#num_cores / #num_packages * #num_packages)) * duration_time",
166*7d124303SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency",
167*7d124303SIan Rogers        "ScaleUnit": "1ns"
168*7d124303SIan Rogers    },
169*7d124303SIan Rogers    {
170*7d124303SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to local memory in nano seconds",
171*7d124303SIan Rogers        "MetricExpr": "1e9 * (cbox@UNC_C_TOR_OCCUPANCY.MISS_LOCAL_OPCODE\\,filter_opc\\=0x182@ / cbox@UNC_C_TOR_INSERTS.MISS_LOCAL_OPCODE\\,filter_opc\\=0x182@) / (UNC_C_CLOCKTICKS / (#num_cores / #num_packages * #num_packages)) * duration_time",
172*7d124303SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_local_requests",
173*7d124303SIan Rogers        "ScaleUnit": "1ns"
174*7d124303SIan Rogers    },
175*7d124303SIan Rogers    {
176*7d124303SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to remote memory in nano seconds",
177*7d124303SIan Rogers        "MetricExpr": "1e9 * (cbox@UNC_C_TOR_OCCUPANCY.MISS_REMOTE_OPCODE\\,filter_opc\\=0x182@ / cbox@UNC_C_TOR_INSERTS.MISS_REMOTE_OPCODE\\,filter_opc\\=0x182@) / (UNC_C_CLOCKTICKS / (#num_cores / #num_packages * #num_packages)) * duration_time",
178*7d124303SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_remote_requests",
179*7d124303SIan Rogers        "ScaleUnit": "1ns"
180*7d124303SIan Rogers    },
181*7d124303SIan Rogers    {
182*7d124303SIan Rogers        "BriefDescription": "Ratio of number of data read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
183*7d124303SIan Rogers        "MetricExpr": "(cbox@UNC_C_TOR_INSERTS.MISS_OPCODE\\,filter_opc\\=0x182@ + cbox@UNC_C_TOR_INSERTS.MISS_OPCODE\\,filter_opc\\=0x192@) / INST_RETIRED.ANY",
184*7d124303SIan Rogers        "MetricName": "llc_data_read_mpi_demand_plus_prefetch",
185*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
186*7d124303SIan Rogers    },
187*7d124303SIan Rogers    {
188*7d124303SIan Rogers        "BriefDescription": "The ratio of number of completed memory load instructions to the total number completed instructions",
189*7d124303SIan Rogers        "MetricExpr": "MEM_UOPS_RETIRED.ALL_LOADS / INST_RETIRED.ANY",
190*7d124303SIan Rogers        "MetricName": "loads_per_instr",
191*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
192*7d124303SIan Rogers    },
193*7d124303SIan Rogers    {
194*7d124303SIan Rogers        "BriefDescription": "DDR memory read bandwidth (MB/sec)",
195*7d124303SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.RD * 64 / 1e6 / duration_time",
196*7d124303SIan Rogers        "MetricName": "memory_bandwidth_read",
197*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
198*7d124303SIan Rogers    },
199*7d124303SIan Rogers    {
200*7d124303SIan Rogers        "BriefDescription": "DDR memory bandwidth (MB/sec)",
201*7d124303SIan Rogers        "MetricExpr": "(UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) * 64 / 1e6 / duration_time",
202*7d124303SIan Rogers        "MetricName": "memory_bandwidth_total",
203*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
204*7d124303SIan Rogers    },
205*7d124303SIan Rogers    {
206*7d124303SIan Rogers        "BriefDescription": "DDR memory write bandwidth (MB/sec)",
207*7d124303SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.WR * 64 / 1e6 / duration_time",
208*7d124303SIan Rogers        "MetricName": "memory_bandwidth_write",
209*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
210*7d124303SIan Rogers    },
211*7d124303SIan Rogers    {
212*7d124303SIan Rogers        "BriefDescription": "Memory read that miss the last level cache (LLC) addressed to local DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
213*7d124303SIan Rogers        "MetricExpr": "cbox@UNC_C_TOR_INSERTS.MISS_LOCAL_OPCODE\\,filter_opc\\=0x182@ / (cbox@UNC_C_TOR_INSERTS.MISS_LOCAL_OPCODE\\,filter_opc\\=0x182@ + cbox@UNC_C_TOR_INSERTS.MISS_REMOTE_OPCODE\\,filter_opc\\=0x182@)",
214*7d124303SIan Rogers        "MetricName": "numa_reads_addressed_to_local_dram",
215*7d124303SIan Rogers        "ScaleUnit": "100%"
216*7d124303SIan Rogers    },
217*7d124303SIan Rogers    {
218*7d124303SIan Rogers        "BriefDescription": "Memory reads that miss the last level cache (LLC) addressed to remote DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
219*7d124303SIan Rogers        "MetricExpr": "cbox@UNC_C_TOR_INSERTS.MISS_REMOTE_OPCODE\\,filter_opc\\=0x182@ / (cbox@UNC_C_TOR_INSERTS.MISS_LOCAL_OPCODE\\,filter_opc\\=0x182@ + cbox@UNC_C_TOR_INSERTS.MISS_REMOTE_OPCODE\\,filter_opc\\=0x182@)",
220*7d124303SIan Rogers        "MetricName": "numa_reads_addressed_to_remote_dram",
221*7d124303SIan Rogers        "ScaleUnit": "100%"
222*7d124303SIan Rogers    },
223*7d124303SIan Rogers    {
224*7d124303SIan Rogers        "BriefDescription": "Uops delivered from decoded instruction cache (decoded stream buffer or DSB) as a percent of total uops delivered to Instruction Decode Queue",
225*7d124303SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / UOPS_ISSUED.ANY",
226*7d124303SIan Rogers        "MetricName": "percent_uops_delivered_from_decoded_icache",
227*7d124303SIan Rogers        "ScaleUnit": "100%"
228*7d124303SIan Rogers    },
229*7d124303SIan Rogers    {
230*7d124303SIan Rogers        "BriefDescription": "Uops delivered from legacy decode pipeline (Micro-instruction Translation Engine or MITE) as a percent of total uops delivered to Instruction Decode Queue",
231*7d124303SIan Rogers        "MetricExpr": "IDQ.MITE_UOPS / UOPS_ISSUED.ANY",
232*7d124303SIan Rogers        "MetricName": "percent_uops_delivered_from_legacy_decode_pipeline",
233*7d124303SIan Rogers        "ScaleUnit": "100%"
234*7d124303SIan Rogers    },
235*7d124303SIan Rogers    {
236*7d124303SIan Rogers        "BriefDescription": "Uops delivered from loop stream detector(LSD) as a percent of total uops delivered to Instruction Decode Queue",
237*7d124303SIan Rogers        "MetricExpr": "LSD.UOPS / UOPS_ISSUED.ANY",
238*7d124303SIan Rogers        "MetricName": "percent_uops_delivered_from_loop_stream_detector",
239*7d124303SIan Rogers        "ScaleUnit": "100%"
240*7d124303SIan Rogers    },
241*7d124303SIan Rogers    {
242*7d124303SIan Rogers        "BriefDescription": "Uops delivered from microcode sequencer (MS) as a percent of total uops delivered to Instruction Decode Queue",
243*7d124303SIan Rogers        "MetricExpr": "IDQ.MS_UOPS / UOPS_ISSUED.ANY",
244*7d124303SIan Rogers        "MetricName": "percent_uops_delivered_from_microcode_sequencer",
245*7d124303SIan Rogers        "ScaleUnit": "100%"
246*7d124303SIan Rogers    },
247*7d124303SIan Rogers    {
248*7d124303SIan Rogers        "BriefDescription": "Intel(R) Quick Path Interconnect (QPI) data transmit bandwidth (MB/sec)",
249*7d124303SIan Rogers        "MetricExpr": "UNC_Q_TxL_FLITS_G0.DATA * 8 / 1e6 / duration_time",
250*7d124303SIan Rogers        "MetricName": "qpi_data_transmit_bw",
251*7d124303SIan Rogers        "ScaleUnit": "1MB/s"
252*7d124303SIan Rogers    },
253*7d124303SIan Rogers    {
25446db21afSIan Rogers        "BriefDescription": "Percentage of cycles spent in System Management Interrupts.",
25546db21afSIan Rogers        "MetricExpr": "((msr@aperf@ - cycles) / msr@aperf@ if msr@smi@ > 0 else 0)",
25646db21afSIan Rogers        "MetricGroup": "smi",
25746db21afSIan Rogers        "MetricName": "smi_cycles",
25846db21afSIan Rogers        "MetricThreshold": "smi_cycles > 0.1",
25946db21afSIan Rogers        "ScaleUnit": "100%"
26046db21afSIan Rogers    },
26146db21afSIan Rogers    {
26246db21afSIan Rogers        "BriefDescription": "Number of SMI interrupts.",
26346db21afSIan Rogers        "MetricExpr": "msr@smi@",
26446db21afSIan Rogers        "MetricGroup": "smi",
26546db21afSIan Rogers        "MetricName": "smi_num",
26646db21afSIan Rogers        "ScaleUnit": "1SMI#"
26746db21afSIan Rogers    },
26846db21afSIan Rogers    {
269*7d124303SIan Rogers        "BriefDescription": "The ratio of number of completed memory store instructions to the total number completed instructions",
270*7d124303SIan Rogers        "MetricExpr": "MEM_UOPS_RETIRED.ALL_STORES / INST_RETIRED.ANY",
271*7d124303SIan Rogers        "MetricName": "stores_per_instr",
272*7d124303SIan Rogers        "ScaleUnit": "1per_instr"
273*7d124303SIan Rogers    },
274*7d124303SIan Rogers    {
27546db21afSIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
276*7d124303SIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / tma_info_thread_clks",
27746db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
27846db21afSIan Rogers        "MetricName": "tma_4k_aliasing",
27946db21afSIan Rogers        "MetricThreshold": "tma_4k_aliasing > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
28046db21afSIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
28146db21afSIan Rogers        "ScaleUnit": "100%"
28246db21afSIan Rogers    },
28346db21afSIan Rogers    {
28446db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
28546db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
286*7d124303SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_0 + UOPS_DISPATCHED_PORT.PORT_1 + UOPS_DISPATCHED_PORT.PORT_5 + UOPS_DISPATCHED_PORT.PORT_6) / tma_info_thread_slots",
28746db21afSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
28846db21afSIan Rogers        "MetricName": "tma_alu_op_utilization",
28946db21afSIan Rogers        "MetricThreshold": "tma_alu_op_utilization > 0.6",
29046db21afSIan Rogers        "ScaleUnit": "100%"
29146db21afSIan Rogers    },
29246db21afSIan Rogers    {
29346db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
294*7d124303SIan Rogers        "MetricExpr": "100 * OTHER_ASSISTS.ANY_WB_ASSIST / tma_info_thread_slots",
29546db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
29646db21afSIan Rogers        "MetricName": "tma_assists",
29746db21afSIan Rogers        "MetricThreshold": "tma_assists > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
29846db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: OTHER_ASSISTS.ANY",
29946db21afSIan Rogers        "ScaleUnit": "100%"
30046db21afSIan Rogers    },
30146db21afSIan Rogers    {
30246db21afSIan Rogers        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
30346db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
30446db21afSIan Rogers        "MetricExpr": "1 - (tma_frontend_bound + tma_bad_speculation + tma_retiring)",
30546db21afSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
30646db21afSIan Rogers        "MetricName": "tma_backend_bound",
30746db21afSIan Rogers        "MetricThreshold": "tma_backend_bound > 0.2",
308ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
30946db21afSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound.",
31046db21afSIan Rogers        "ScaleUnit": "100%"
31146db21afSIan Rogers    },
31246db21afSIan Rogers    {
31346db21afSIan Rogers        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
314*7d124303SIan Rogers        "MetricExpr": "(UOPS_ISSUED.ANY - UOPS_RETIRED.RETIRE_SLOTS + 4 * (INT_MISC.RECOVERY_CYCLES_ANY / 2 if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / tma_info_thread_slots",
31546db21afSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
31646db21afSIan Rogers        "MetricName": "tma_bad_speculation",
31746db21afSIan Rogers        "MetricThreshold": "tma_bad_speculation > 0.15",
318ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
31946db21afSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
32046db21afSIan Rogers        "ScaleUnit": "100%"
32146db21afSIan Rogers    },
32246db21afSIan Rogers    {
32346db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
32446db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
32546db21afSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * tma_bad_speculation",
32646db21afSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueBM",
32746db21afSIan Rogers        "MetricName": "tma_branch_mispredicts",
32846db21afSIan Rogers        "MetricThreshold": "tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15",
329ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
330*7d124303SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES. Related metrics: tma_info_bad_spec_branch_misprediction_cost, tma_mispredicts_resteers",
33146db21afSIan Rogers        "ScaleUnit": "100%"
33246db21afSIan Rogers    },
33346db21afSIan Rogers    {
33446db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
335*7d124303SIan Rogers        "MetricExpr": "12 * (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY) / tma_info_thread_clks",
33646db21afSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group",
33746db21afSIan Rogers        "MetricName": "tma_branch_resteers",
33846db21afSIan Rogers        "MetricThreshold": "tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
33946db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
34046db21afSIan Rogers        "ScaleUnit": "100%"
34146db21afSIan Rogers    },
34246db21afSIan Rogers    {
34346db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
34446db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
34546db21afSIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
34646db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
34746db21afSIan Rogers        "MetricName": "tma_cisc",
34846db21afSIan Rogers        "MetricThreshold": "tma_cisc > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
34946db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
35046db21afSIan Rogers        "ScaleUnit": "100%"
35146db21afSIan Rogers    },
35246db21afSIan Rogers    {
35346db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears",
35446db21afSIan Rogers        "MetricExpr": "MACHINE_CLEARS.COUNT * tma_branch_resteers / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY)",
35546db21afSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueMC",
35646db21afSIan Rogers        "MetricName": "tma_clears_resteers",
35746db21afSIan Rogers        "MetricThreshold": "tma_clears_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
35846db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. Related metrics: tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches",
35946db21afSIan Rogers        "ScaleUnit": "100%"
36046db21afSIan Rogers    },
36146db21afSIan Rogers    {
36246db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
36346db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
364*7d124303SIan Rogers        "MetricExpr": "(60 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) + 43 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD)))) / tma_info_thread_clks",
36546db21afSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
36646db21afSIan Rogers        "MetricName": "tma_contested_accesses",
36746db21afSIan Rogers        "MetricThreshold": "tma_contested_accesses > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
36846db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS_PS. Related metrics: tma_data_sharing, tma_false_sharing, tma_machine_clears, tma_remote_cache",
36946db21afSIan Rogers        "ScaleUnit": "100%"
37046db21afSIan Rogers    },
37146db21afSIan Rogers    {
37246db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
37346db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
37446db21afSIan Rogers        "MetricExpr": "tma_backend_bound - tma_memory_bound",
37546db21afSIan Rogers        "MetricGroup": "Backend;Compute;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
37646db21afSIan Rogers        "MetricName": "tma_core_bound",
37746db21afSIan Rogers        "MetricThreshold": "tma_core_bound > 0.1 & tma_backend_bound > 0.2",
378ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
37946db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
38046db21afSIan Rogers        "ScaleUnit": "100%"
38146db21afSIan Rogers    },
38246db21afSIan Rogers    {
38346db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
38446db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
385*7d124303SIan Rogers        "MetricExpr": "43 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) / tma_info_thread_clks",
38646db21afSIan Rogers        "MetricGroup": "Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
38746db21afSIan Rogers        "MetricName": "tma_data_sharing",
38846db21afSIan Rogers        "MetricThreshold": "tma_data_sharing > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
38946db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT_PS. Related metrics: tma_contested_accesses, tma_false_sharing, tma_machine_clears, tma_remote_cache",
39046db21afSIan Rogers        "ScaleUnit": "100%"
39146db21afSIan Rogers    },
39246db21afSIan Rogers    {
39346db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
394*7d124303SIan Rogers        "MetricExpr": "ARITH.FPU_DIV_ACTIVE / tma_info_core_core_clks",
39546db21afSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_core_bound_group",
39646db21afSIan Rogers        "MetricName": "tma_divider",
39746db21afSIan Rogers        "MetricThreshold": "tma_divider > 0.2 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
39846db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_UOPS",
39946db21afSIan Rogers        "ScaleUnit": "100%"
40046db21afSIan Rogers    },
40146db21afSIan Rogers    {
40246db21afSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
40346db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_SMT",
404*7d124303SIan Rogers        "MetricExpr": "(1 - MEM_LOAD_UOPS_RETIRED.L3_HIT / (MEM_LOAD_UOPS_RETIRED.L3_HIT + 7 * MEM_LOAD_UOPS_RETIRED.L3_MISS)) * CYCLE_ACTIVITY.STALLS_L2_MISS / tma_info_thread_clks",
40546db21afSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
40646db21afSIan Rogers        "MetricName": "tma_dram_bound",
40746db21afSIan Rogers        "MetricThreshold": "tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
40846db21afSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_MISS_PS",
40946db21afSIan Rogers        "ScaleUnit": "100%"
41046db21afSIan Rogers    },
41146db21afSIan Rogers    {
41246db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
413*7d124303SIan Rogers        "MetricExpr": "(IDQ.ALL_DSB_CYCLES_ANY_UOPS - IDQ.ALL_DSB_CYCLES_4_UOPS) / tma_info_core_core_clks / 2",
41446db21afSIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
41546db21afSIan Rogers        "MetricName": "tma_dsb",
416*7d124303SIan Rogers        "MetricThreshold": "tma_dsb > 0.15 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35)",
41746db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
41846db21afSIan Rogers        "ScaleUnit": "100%"
41946db21afSIan Rogers    },
42046db21afSIan Rogers    {
42146db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
422*7d124303SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / tma_info_thread_clks",
42346db21afSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
42446db21afSIan Rogers        "MetricName": "tma_dsb_switches",
42546db21afSIan Rogers        "MetricThreshold": "tma_dsb_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
426*7d124303SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty. Related metrics: tma_fetch_bandwidth, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
42746db21afSIan Rogers        "ScaleUnit": "100%"
42846db21afSIan Rogers    },
42946db21afSIan Rogers    {
43046db21afSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
431*7d124303SIan Rogers        "MetricExpr": "(8 * DTLB_LOAD_MISSES.STLB_HIT + cpu@DTLB_LOAD_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * DTLB_LOAD_MISSES.WALK_COMPLETED) / tma_info_thread_clks",
43246db21afSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_l1_bound_group",
43346db21afSIan Rogers        "MetricName": "tma_dtlb_load",
43446db21afSIan Rogers        "MetricThreshold": "tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
43546db21afSIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_UOPS_RETIRED.STLB_MISS_LOADS_PS. Related metrics: tma_dtlb_store",
43646db21afSIan Rogers        "ScaleUnit": "100%"
43746db21afSIan Rogers    },
43846db21afSIan Rogers    {
43946db21afSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
440*7d124303SIan Rogers        "MetricExpr": "(8 * DTLB_STORE_MISSES.STLB_HIT + cpu@DTLB_STORE_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * DTLB_STORE_MISSES.WALK_COMPLETED) / tma_info_thread_clks",
44146db21afSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_store_bound_group",
44246db21afSIan Rogers        "MetricName": "tma_dtlb_store",
44346db21afSIan Rogers        "MetricThreshold": "tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
44446db21afSIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_UOPS_RETIRED.STLB_MISS_STORES_PS. Related metrics: tma_dtlb_load",
44546db21afSIan Rogers        "ScaleUnit": "100%"
44646db21afSIan Rogers    },
44746db21afSIan Rogers    {
44846db21afSIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
449*7d124303SIan Rogers        "MetricExpr": "(200 * OFFCORE_RESPONSE.DEMAND_RFO.LLC_MISS.REMOTE_HITM + 60 * OFFCORE_RESPONSE.DEMAND_RFO.LLC_HIT.HITM_OTHER_CORE) / tma_info_thread_clks",
45046db21afSIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_store_bound_group",
45146db21afSIan Rogers        "MetricName": "tma_false_sharing",
45246db21afSIan Rogers        "MetricThreshold": "tma_false_sharing > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
45346db21afSIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;OFFCORE_RESPONSE.DEMAND_RFO.L3_HIT.SNOOP_HITM. Related metrics: tma_contested_accesses, tma_data_sharing, tma_machine_clears, tma_remote_cache",
45446db21afSIan Rogers        "ScaleUnit": "100%"
45546db21afSIan Rogers    },
45646db21afSIan Rogers    {
45746db21afSIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
45846db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
459*7d124303SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@ / tma_info_thread_clks",
46046db21afSIan Rogers        "MetricGroup": "MemoryBW;TopdownL4;tma_L4_group;tma_issueBW;tma_issueSL;tma_issueSmSt;tma_l1_bound_group",
46146db21afSIan Rogers        "MetricName": "tma_fb_full",
46246db21afSIan Rogers        "MetricThreshold": "tma_fb_full > 0.3",
463*7d124303SIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory). Related metrics: tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full, tma_store_latency, tma_streaming_stores",
46446db21afSIan Rogers        "ScaleUnit": "100%"
46546db21afSIan Rogers    },
46646db21afSIan Rogers    {
46746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
46846db21afSIan Rogers        "MetricExpr": "tma_frontend_bound - tma_fetch_latency",
46946db21afSIan Rogers        "MetricGroup": "FetchBW;Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group;tma_issueFB",
47046db21afSIan Rogers        "MetricName": "tma_fetch_bandwidth",
471*7d124303SIan Rogers        "MetricThreshold": "tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35",
472ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
473*7d124303SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend. Related metrics: tma_dsb_switches, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
47446db21afSIan Rogers        "ScaleUnit": "100%"
47546db21afSIan Rogers    },
47646db21afSIan Rogers    {
47746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
478*7d124303SIan Rogers        "MetricExpr": "4 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE / tma_info_thread_slots",
47946db21afSIan Rogers        "MetricGroup": "Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group",
48046db21afSIan Rogers        "MetricName": "tma_fetch_latency",
48146db21afSIan Rogers        "MetricThreshold": "tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15",
482ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
48346db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: RS_EVENTS.EMPTY_END",
48446db21afSIan Rogers        "ScaleUnit": "100%"
48546db21afSIan Rogers    },
48646db21afSIan Rogers    {
48746db21afSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
48846db21afSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
48946db21afSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_L3_group;tma_light_operations_group",
49046db21afSIan Rogers        "MetricName": "tma_fp_arith",
49146db21afSIan Rogers        "MetricThreshold": "tma_fp_arith > 0.2 & tma_light_operations > 0.6",
49246db21afSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
49346db21afSIan Rogers        "ScaleUnit": "100%"
49446db21afSIan Rogers    },
49546db21afSIan Rogers    {
49646db21afSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
49746db21afSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ / UOPS_RETIRED.RETIRE_SLOTS",
49846db21afSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
49946db21afSIan Rogers        "MetricName": "tma_fp_scalar",
50046db21afSIan Rogers        "MetricThreshold": "tma_fp_scalar > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
50146db21afSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting. Related metrics: tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
50246db21afSIan Rogers        "ScaleUnit": "100%"
50346db21afSIan Rogers    },
50446db21afSIan Rogers    {
50546db21afSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
50646db21afSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0x3c@ / UOPS_RETIRED.RETIRE_SLOTS",
50746db21afSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
50846db21afSIan Rogers        "MetricName": "tma_fp_vector",
50946db21afSIan Rogers        "MetricThreshold": "tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
51046db21afSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
51146db21afSIan Rogers        "ScaleUnit": "100%"
51246db21afSIan Rogers    },
51346db21afSIan Rogers    {
51446db21afSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
51546db21afSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
51646db21afSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
51746db21afSIan Rogers        "MetricName": "tma_fp_vector_128b",
51846db21afSIan Rogers        "MetricThreshold": "tma_fp_vector_128b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
51946db21afSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
52046db21afSIan Rogers        "ScaleUnit": "100%"
52146db21afSIan Rogers    },
52246db21afSIan Rogers    {
52346db21afSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
52446db21afSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
52546db21afSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
52646db21afSIan Rogers        "MetricName": "tma_fp_vector_256b",
52746db21afSIan Rogers        "MetricThreshold": "tma_fp_vector_256b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
52846db21afSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
52946db21afSIan Rogers        "ScaleUnit": "100%"
53046db21afSIan Rogers    },
53146db21afSIan Rogers    {
53246db21afSIan Rogers        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
533*7d124303SIan Rogers        "MetricExpr": "IDQ_UOPS_NOT_DELIVERED.CORE / tma_info_thread_slots",
53446db21afSIan Rogers        "MetricGroup": "PGO;TmaL1;TopdownL1;tma_L1_group",
53546db21afSIan Rogers        "MetricName": "tma_frontend_bound",
53646db21afSIan Rogers        "MetricThreshold": "tma_frontend_bound > 0.15",
537ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
53846db21afSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Pipeline_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound.",
53946db21afSIan Rogers        "ScaleUnit": "100%"
54046db21afSIan Rogers    },
54146db21afSIan Rogers    {
54246db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences",
54346db21afSIan Rogers        "MetricExpr": "tma_microcode_sequencer",
54446db21afSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
54546db21afSIan Rogers        "MetricName": "tma_heavy_operations",
54646db21afSIan Rogers        "MetricThreshold": "tma_heavy_operations > 0.1",
547ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
54846db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences. This highly-correlates with the uop length of these instructions/sequences.",
54946db21afSIan Rogers        "ScaleUnit": "100%"
55046db21afSIan Rogers    },
55146db21afSIan Rogers    {
55246db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses.",
553*7d124303SIan Rogers        "MetricExpr": "ICACHE.IFDATA_STALL / tma_info_thread_clks",
55446db21afSIan Rogers        "MetricGroup": "BigFoot;FetchLat;IcMiss;TopdownL3;tma_L3_group;tma_fetch_latency_group",
55546db21afSIan Rogers        "MetricName": "tma_icache_misses",
55646db21afSIan Rogers        "MetricThreshold": "tma_icache_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
55746db21afSIan Rogers        "ScaleUnit": "100%"
55846db21afSIan Rogers    },
55946db21afSIan Rogers    {
56046db21afSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
561*7d124303SIan Rogers        "MetricExpr": "(tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) * tma_info_thread_slots / BR_MISP_RETIRED.ALL_BRANCHES",
56246db21afSIan Rogers        "MetricGroup": "Bad;BrMispredicts;tma_issueBM",
563*7d124303SIan Rogers        "MetricName": "tma_info_bad_spec_branch_misprediction_cost",
56446db21afSIan Rogers        "PublicDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear). Related metrics: tma_branch_mispredicts, tma_mispredicts_resteers"
56546db21afSIan Rogers    },
56646db21afSIan Rogers    {
567*7d124303SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for indirect CALL or JMP branches (lower number means higher occurrence rate).",
568*7d124303SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / (UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * cpu@BR_MISP_EXEC.ALL_BRANCHES\\,umask\\=0xE4@)",
569*7d124303SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
570*7d124303SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_indirect",
571*7d124303SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_indirect < 1e3"
572*7d124303SIan Rogers    },
573*7d124303SIan Rogers    {
574*7d124303SIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
575*7d124303SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
576*7d124303SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
577*7d124303SIan Rogers        "MetricName": "tma_info_bad_spec_ipmispredict",
578*7d124303SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmispredict < 200"
57946db21afSIan Rogers    },
58046db21afSIan Rogers    {
58146db21afSIan Rogers        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
582*7d124303SIan Rogers        "MetricExpr": "(CPU_CLK_UNHALTED.THREAD / 2 * (1 + CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_XCLK) if #core_wide < 1 else (CPU_CLK_UNHALTED.THREAD_ANY / 2 if #SMT_on else tma_info_thread_clks))",
58346db21afSIan Rogers        "MetricGroup": "SMT",
584*7d124303SIan Rogers        "MetricName": "tma_info_core_core_clks"
58546db21afSIan Rogers    },
58646db21afSIan Rogers    {
58746db21afSIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
588*7d124303SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_core_core_clks",
58946db21afSIan Rogers        "MetricGroup": "Ret;SMT;TmaL1;tma_L1_group",
590*7d124303SIan Rogers        "MetricName": "tma_info_core_coreipc"
59146db21afSIan Rogers    },
59246db21afSIan Rogers    {
59346db21afSIan Rogers        "BriefDescription": "Floating Point Operations Per Cycle",
594*7d124303SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / tma_info_core_core_clks",
59546db21afSIan Rogers        "MetricGroup": "Flops;Ret",
596*7d124303SIan Rogers        "MetricName": "tma_info_core_flopc"
59746db21afSIan Rogers    },
59846db21afSIan Rogers    {
59946db21afSIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
600*7d124303SIan Rogers        "MetricExpr": "(cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0x3c@) / (2 * tma_info_core_core_clks)",
60146db21afSIan Rogers        "MetricGroup": "Cor;Flops;HPC",
602*7d124303SIan Rogers        "MetricName": "tma_info_core_fp_arith_utilization",
60346db21afSIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
60446db21afSIan Rogers    },
60546db21afSIan Rogers    {
60646db21afSIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
60746db21afSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / (cpu@UOPS_EXECUTED.CORE\\,cmask\\=1@ / 2 if #SMT_on else UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC)",
60846db21afSIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
609*7d124303SIan Rogers        "MetricName": "tma_info_core_ilp"
610*7d124303SIan Rogers    },
611*7d124303SIan Rogers    {
612*7d124303SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
613*7d124303SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / (IDQ.DSB_UOPS + LSD.UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS)",
614*7d124303SIan Rogers        "MetricGroup": "DSB;Fed;FetchBW;tma_issueFB",
615*7d124303SIan Rogers        "MetricName": "tma_info_frontend_dsb_coverage",
616*7d124303SIan Rogers        "MetricThreshold": "tma_info_frontend_dsb_coverage < 0.7 & tma_info_thread_ipc / 4 > 0.35",
617*7d124303SIan Rogers        "PublicDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache). Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_inst_mix_iptb, tma_lcp"
618*7d124303SIan Rogers    },
619*7d124303SIan Rogers    {
620*7d124303SIan Rogers        "BriefDescription": "Instructions per speculative Unknown Branch Misprediction (BAClear) (lower number means higher occurrence rate)",
621*7d124303SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / BACLEARS.ANY",
622*7d124303SIan Rogers        "MetricGroup": "Fed",
623*7d124303SIan Rogers        "MetricName": "tma_info_frontend_ipunknown_branch"
624*7d124303SIan Rogers    },
625*7d124303SIan Rogers    {
626*7d124303SIan Rogers        "BriefDescription": "Branch instructions per taken branch.",
627*7d124303SIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
628*7d124303SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
629*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_bptkbranch"
63046db21afSIan Rogers    },
63146db21afSIan Rogers    {
63246db21afSIan Rogers        "BriefDescription": "Total number of retired Instructions",
63346db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
63446db21afSIan Rogers        "MetricGroup": "Summary;TmaL1;tma_L1_group",
635*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_instructions",
63646db21afSIan Rogers        "PublicDescription": "Total number of retired Instructions. Sample with: INST_RETIRED.PREC_DIST"
63746db21afSIan Rogers    },
63846db21afSIan Rogers    {
63946db21afSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
64046db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (cpu@FP_ARITH_INST_RETIRED.SCALAR_SINGLE\\,umask\\=0x03@ + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0x3c@)",
64146db21afSIan Rogers        "MetricGroup": "Flops;InsType",
642*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iparith",
643*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith < 10",
64446db21afSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). May undercount due to FMA double counting. Approximated prior to BDW."
64546db21afSIan Rogers    },
64646db21afSIan Rogers    {
64746db21afSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
64846db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
64946db21afSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
650*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx128",
651*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx128 < 10",
65246db21afSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
65346db21afSIan Rogers    },
65446db21afSIan Rogers    {
65546db21afSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
65646db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
65746db21afSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
658*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx256",
659*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx256 < 10",
66046db21afSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
66146db21afSIan Rogers    },
66246db21afSIan Rogers    {
66346db21afSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
66446db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
66546db21afSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
666*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_dp",
667*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_dp < 10",
66846db21afSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
66946db21afSIan Rogers    },
67046db21afSIan Rogers    {
67146db21afSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
67246db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
67346db21afSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
674*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_sp",
675*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_sp < 10",
67646db21afSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
67746db21afSIan Rogers    },
67846db21afSIan Rogers    {
67946db21afSIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
68046db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
68146db21afSIan Rogers        "MetricGroup": "Branches;Fed;InsType",
682*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_ipbranch",
683*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipbranch < 8"
68446db21afSIan Rogers    },
68546db21afSIan Rogers    {
68646db21afSIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
68746db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
68846db21afSIan Rogers        "MetricGroup": "Branches;Fed;PGO",
689*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_ipcall",
690*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipcall < 200"
69146db21afSIan Rogers    },
69246db21afSIan Rogers    {
69346db21afSIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
69446db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
69546db21afSIan Rogers        "MetricGroup": "Flops;InsType",
696*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_ipflop",
697*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipflop < 10"
69846db21afSIan Rogers    },
69946db21afSIan Rogers    {
70046db21afSIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
70146db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_LOADS",
70246db21afSIan Rogers        "MetricGroup": "InsType",
703*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_ipload",
704*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipload < 3"
70546db21afSIan Rogers    },
70646db21afSIan Rogers    {
70746db21afSIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
70846db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_STORES",
70946db21afSIan Rogers        "MetricGroup": "InsType",
710*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_ipstore",
711*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipstore < 8"
71246db21afSIan Rogers    },
71346db21afSIan Rogers    {
71446db21afSIan Rogers        "BriefDescription": "Instruction per taken branch",
71546db21afSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
71646db21afSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO;tma_issueFB",
717*7d124303SIan Rogers        "MetricName": "tma_info_inst_mix_iptb",
718*7d124303SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iptb < 9",
719*7d124303SIan Rogers        "PublicDescription": "Instruction per taken branch. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_frontend_dsb_coverage, tma_lcp"
72046db21afSIan Rogers    },
72146db21afSIan Rogers    {
72246db21afSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
72346db21afSIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1e9 / duration_time",
72446db21afSIan Rogers        "MetricGroup": "Mem;MemoryBW",
725*7d124303SIan Rogers        "MetricName": "tma_info_memory_core_l1d_cache_fill_bw"
72646db21afSIan Rogers    },
72746db21afSIan Rogers    {
72846db21afSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
72946db21afSIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1e9 / duration_time",
73046db21afSIan Rogers        "MetricGroup": "Mem;MemoryBW",
731*7d124303SIan Rogers        "MetricName": "tma_info_memory_core_l2_cache_fill_bw"
73246db21afSIan Rogers    },
73346db21afSIan Rogers    {
73446db21afSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
73546db21afSIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1e9 / duration_time",
73646db21afSIan Rogers        "MetricGroup": "Mem;MemoryBW",
737*7d124303SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_fill_bw"
73846db21afSIan Rogers    },
73946db21afSIan Rogers    {
740*7d124303SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
741*7d124303SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_UOPS_RETIRED.L1_MISS / INST_RETIRED.ANY",
742*7d124303SIan Rogers        "MetricGroup": "CacheMisses;Mem",
743*7d124303SIan Rogers        "MetricName": "tma_info_memory_l1mpki"
744*7d124303SIan Rogers    },
745*7d124303SIan Rogers    {
746*7d124303SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
747*7d124303SIan Rogers        "MetricExpr": "1e3 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
748*7d124303SIan Rogers        "MetricGroup": "CacheMisses;Mem",
749*7d124303SIan Rogers        "MetricName": "tma_info_memory_l2hpki_all"
750*7d124303SIan Rogers    },
751*7d124303SIan Rogers    {
752*7d124303SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
753*7d124303SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
754*7d124303SIan Rogers        "MetricGroup": "CacheMisses;Mem",
755*7d124303SIan Rogers        "MetricName": "tma_info_memory_l2hpki_load"
756*7d124303SIan Rogers    },
757*7d124303SIan Rogers    {
758*7d124303SIan Rogers        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
759*7d124303SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_UOPS_RETIRED.L2_MISS / INST_RETIRED.ANY",
760*7d124303SIan Rogers        "MetricGroup": "Backend;CacheMisses;Mem",
761*7d124303SIan Rogers        "MetricName": "tma_info_memory_l2mpki"
762*7d124303SIan Rogers    },
763*7d124303SIan Rogers    {
764*7d124303SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
765*7d124303SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.MISS / INST_RETIRED.ANY",
766*7d124303SIan Rogers        "MetricGroup": "CacheMisses;Mem;Offcore",
767*7d124303SIan Rogers        "MetricName": "tma_info_memory_l2mpki_all"
768*7d124303SIan Rogers    },
769*7d124303SIan Rogers    {
770*7d124303SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
771*7d124303SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
772*7d124303SIan Rogers        "MetricGroup": "CacheMisses;Mem",
773*7d124303SIan Rogers        "MetricName": "tma_info_memory_l2mpki_load"
77446db21afSIan Rogers    },
77546db21afSIan Rogers    {
77646db21afSIan Rogers        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
77746db21afSIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_UOPS_RETIRED.L3_MISS / INST_RETIRED.ANY",
77846db21afSIan Rogers        "MetricGroup": "CacheMisses;Mem",
779*7d124303SIan Rogers        "MetricName": "tma_info_memory_l3mpki"
78046db21afSIan Rogers    },
78146db21afSIan Rogers    {
78246db21afSIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
78346db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
78446db21afSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_UOPS_RETIRED.L1_MISS + MEM_LOAD_UOPS_RETIRED.HIT_LFB)",
78546db21afSIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
786*7d124303SIan Rogers        "MetricName": "tma_info_memory_load_miss_real_latency"
78746db21afSIan Rogers    },
78846db21afSIan Rogers    {
78946db21afSIan Rogers        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss",
79046db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
79146db21afSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
79246db21afSIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
793*7d124303SIan Rogers        "MetricName": "tma_info_memory_mlp",
79446db21afSIan Rogers        "PublicDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)"
79546db21afSIan Rogers    },
79646db21afSIan Rogers    {
797*7d124303SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss data reads",
798*7d124303SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD",
799*7d124303SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
800*7d124303SIan Rogers        "MetricName": "tma_info_memory_oro_data_l2_mlp"
801*7d124303SIan Rogers    },
802*7d124303SIan Rogers    {
803*7d124303SIan Rogers        "BriefDescription": "Average Latency for L2 cache miss demand Loads",
804*7d124303SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS.DEMAND_DATA_RD",
805*7d124303SIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
806*7d124303SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_miss_latency"
807*7d124303SIan Rogers    },
808*7d124303SIan Rogers    {
809*7d124303SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss demand Loads",
810*7d124303SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_DATA_RD",
811*7d124303SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
812*7d124303SIan Rogers        "MetricName": "tma_info_memory_oro_load_l2_mlp"
813*7d124303SIan Rogers    },
814*7d124303SIan Rogers    {
815*7d124303SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
816*7d124303SIan Rogers        "MetricExpr": "tma_info_memory_core_l1d_cache_fill_bw",
817*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryBW",
818*7d124303SIan Rogers        "MetricName": "tma_info_memory_thread_l1d_cache_fill_bw_1t"
819*7d124303SIan Rogers    },
820*7d124303SIan Rogers    {
821*7d124303SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
822*7d124303SIan Rogers        "MetricExpr": "tma_info_memory_core_l2_cache_fill_bw",
823*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryBW",
824*7d124303SIan Rogers        "MetricName": "tma_info_memory_thread_l2_cache_fill_bw_1t"
825*7d124303SIan Rogers    },
826*7d124303SIan Rogers    {
827*7d124303SIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
828*7d124303SIan Rogers        "MetricExpr": "0",
829*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
830*7d124303SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_access_bw_1t"
831*7d124303SIan Rogers    },
832*7d124303SIan Rogers    {
833*7d124303SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
834*7d124303SIan Rogers        "MetricExpr": "tma_info_memory_core_l3_cache_fill_bw",
835*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryBW",
836*7d124303SIan Rogers        "MetricName": "tma_info_memory_thread_l3_cache_fill_bw_1t"
837*7d124303SIan Rogers    },
838*7d124303SIan Rogers    {
83946db21afSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
840*7d124303SIan Rogers        "MetricExpr": "(ITLB_MISSES.WALK_DURATION + DTLB_LOAD_MISSES.WALK_DURATION + DTLB_STORE_MISSES.WALK_DURATION + 7 * (DTLB_STORE_MISSES.WALK_COMPLETED + DTLB_LOAD_MISSES.WALK_COMPLETED + ITLB_MISSES.WALK_COMPLETED)) / (2 * tma_info_core_core_clks)",
84146db21afSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
842*7d124303SIan Rogers        "MetricName": "tma_info_memory_tlb_page_walks_utilization",
843*7d124303SIan Rogers        "MetricThreshold": "tma_info_memory_tlb_page_walks_utilization > 0.5"
844*7d124303SIan Rogers    },
845*7d124303SIan Rogers    {
846*7d124303SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-thread",
847*7d124303SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
848*7d124303SIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
849*7d124303SIan Rogers        "MetricName": "tma_info_pipeline_execute"
85046db21afSIan Rogers    },
85146db21afSIan Rogers    {
85246db21afSIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
85346db21afSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / cpu@UOPS_RETIRED.RETIRE_SLOTS\\,cmask\\=1@",
85446db21afSIan Rogers        "MetricGroup": "Pipeline;Ret",
855*7d124303SIan Rogers        "MetricName": "tma_info_pipeline_retire"
85646db21afSIan Rogers    },
85746db21afSIan Rogers    {
858*7d124303SIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
859*7d124303SIan Rogers        "MetricExpr": "tma_info_system_turbo_utilization * TSC / 1e9 / duration_time",
860*7d124303SIan Rogers        "MetricGroup": "Power;Summary",
861*7d124303SIan Rogers        "MetricName": "tma_info_system_average_frequency"
862*7d124303SIan Rogers    },
863*7d124303SIan Rogers    {
864*7d124303SIan Rogers        "BriefDescription": "Average CPU Utilization",
865*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / TSC",
866*7d124303SIan Rogers        "MetricGroup": "HPC;Summary",
867*7d124303SIan Rogers        "MetricName": "tma_info_system_cpu_utilization"
868*7d124303SIan Rogers    },
869*7d124303SIan Rogers    {
870*7d124303SIan Rogers        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
871*7d124303SIan Rogers        "MetricExpr": "64 * (UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) / 1e9 / duration_time",
872*7d124303SIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC;tma_issueBW",
873*7d124303SIan Rogers        "MetricName": "tma_info_system_dram_bw_use",
874*7d124303SIan Rogers        "PublicDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]. Related metrics: tma_fb_full, tma_mem_bandwidth, tma_sq_full"
875*7d124303SIan Rogers    },
876*7d124303SIan Rogers    {
877*7d124303SIan Rogers        "BriefDescription": "Giga Floating Point Operations Per Second",
878*7d124303SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / 1e9 / duration_time",
879*7d124303SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
880*7d124303SIan Rogers        "MetricName": "tma_info_system_gflops",
881*7d124303SIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
882*7d124303SIan Rogers    },
883*7d124303SIan Rogers    {
884*7d124303SIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
885*7d124303SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
886*7d124303SIan Rogers        "MetricGroup": "Branches;OS",
887*7d124303SIan Rogers        "MetricName": "tma_info_system_ipfarbranch",
888*7d124303SIan Rogers        "MetricThreshold": "tma_info_system_ipfarbranch < 1e6"
889*7d124303SIan Rogers    },
890*7d124303SIan Rogers    {
891*7d124303SIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
892*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
893*7d124303SIan Rogers        "MetricGroup": "OS",
894*7d124303SIan Rogers        "MetricName": "tma_info_system_kernel_cpi"
895*7d124303SIan Rogers    },
896*7d124303SIan Rogers    {
897*7d124303SIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
898*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
899*7d124303SIan Rogers        "MetricGroup": "OS",
900*7d124303SIan Rogers        "MetricName": "tma_info_system_kernel_utilization",
901*7d124303SIan Rogers        "MetricThreshold": "tma_info_system_kernel_utilization > 0.05"
902*7d124303SIan Rogers    },
903*7d124303SIan Rogers    {
904*7d124303SIan Rogers        "BriefDescription": "Average number of parallel data read requests to external memory",
905*7d124303SIan Rogers        "MetricExpr": "UNC_C_TOR_OCCUPANCY.MISS_OPCODE@filter_opc\\=0x182@ / UNC_C_TOR_OCCUPANCY.MISS_OPCODE@filter_opc\\=0x182\\,thresh\\=1@",
906*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryBW;SoC",
907*7d124303SIan Rogers        "MetricName": "tma_info_system_mem_parallel_reads",
908*7d124303SIan Rogers        "PublicDescription": "Average number of parallel data read requests to external memory. Accounts for demand loads and L1/L2 prefetches"
909*7d124303SIan Rogers    },
910*7d124303SIan Rogers    {
911*7d124303SIan Rogers        "BriefDescription": "Average latency of data read request to external memory (in nanoseconds)",
912*7d124303SIan Rogers        "MetricExpr": "1e9 * (UNC_C_TOR_OCCUPANCY.MISS_OPCODE@filter_opc\\=0x182@ / UNC_C_TOR_INSERTS.MISS_OPCODE@filter_opc\\=0x182@) / (tma_info_system_socket_clks / duration_time)",
913*7d124303SIan Rogers        "MetricGroup": "Mem;MemoryLat;SoC",
914*7d124303SIan Rogers        "MetricName": "tma_info_system_mem_read_latency",
915*7d124303SIan Rogers        "PublicDescription": "Average latency of data read request to external memory (in nanoseconds). Accounts for demand loads and L1/L2 prefetches. ([RKL+]memory-controller only)"
91646db21afSIan Rogers    },
91746db21afSIan Rogers    {
91846db21afSIan Rogers        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
91946db21afSIan Rogers        "MetricExpr": "(1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / (CPU_CLK_UNHALTED.REF_XCLK_ANY / 2) if #SMT_on else 0)",
92046db21afSIan Rogers        "MetricGroup": "SMT",
921*7d124303SIan Rogers        "MetricName": "tma_info_system_smt_2t_utilization"
92246db21afSIan Rogers    },
92346db21afSIan Rogers    {
92446db21afSIan Rogers        "BriefDescription": "Socket actual clocks when any core is active on that socket",
92546db21afSIan Rogers        "MetricExpr": "cbox_0@event\\=0x0@",
92646db21afSIan Rogers        "MetricGroup": "SoC",
927*7d124303SIan Rogers        "MetricName": "tma_info_system_socket_clks"
92846db21afSIan Rogers    },
92946db21afSIan Rogers    {
93046db21afSIan Rogers        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
931*7d124303SIan Rogers        "MetricExpr": "tma_info_thread_clks / CPU_CLK_UNHALTED.REF_TSC",
93246db21afSIan Rogers        "MetricGroup": "Power",
933*7d124303SIan Rogers        "MetricName": "tma_info_system_turbo_utilization"
934*7d124303SIan Rogers    },
935*7d124303SIan Rogers    {
936*7d124303SIan Rogers        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
937*7d124303SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
938*7d124303SIan Rogers        "MetricGroup": "Pipeline",
939*7d124303SIan Rogers        "MetricName": "tma_info_thread_clks"
940*7d124303SIan Rogers    },
941*7d124303SIan Rogers    {
942*7d124303SIan Rogers        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
943*7d124303SIan Rogers        "MetricExpr": "1 / tma_info_thread_ipc",
944*7d124303SIan Rogers        "MetricGroup": "Mem;Pipeline",
945*7d124303SIan Rogers        "MetricName": "tma_info_thread_cpi"
946*7d124303SIan Rogers    },
947*7d124303SIan Rogers    {
948*7d124303SIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
949*7d124303SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
950*7d124303SIan Rogers        "MetricGroup": "Cor;Pipeline",
951*7d124303SIan Rogers        "MetricName": "tma_info_thread_execute_per_issue",
952*7d124303SIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
953*7d124303SIan Rogers    },
954*7d124303SIan Rogers    {
955*7d124303SIan Rogers        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
956*7d124303SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_thread_clks",
957*7d124303SIan Rogers        "MetricGroup": "Ret;Summary",
958*7d124303SIan Rogers        "MetricName": "tma_info_thread_ipc"
959*7d124303SIan Rogers    },
960*7d124303SIan Rogers    {
961*7d124303SIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
962*7d124303SIan Rogers        "MetricExpr": "4 * tma_info_core_core_clks",
963*7d124303SIan Rogers        "MetricGroup": "TmaL1;tma_L1_group",
964*7d124303SIan Rogers        "MetricName": "tma_info_thread_slots"
96546db21afSIan Rogers    },
96646db21afSIan Rogers    {
96746db21afSIan Rogers        "BriefDescription": "Uops Per Instruction",
96846db21afSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / INST_RETIRED.ANY",
96946db21afSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
970*7d124303SIan Rogers        "MetricName": "tma_info_thread_uoppi",
971*7d124303SIan Rogers        "MetricThreshold": "tma_info_thread_uoppi > 1.05"
97246db21afSIan Rogers    },
97346db21afSIan Rogers    {
97446db21afSIan Rogers        "BriefDescription": "Instruction per taken branch",
97546db21afSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / BR_INST_RETIRED.NEAR_TAKEN",
97646db21afSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
977*7d124303SIan Rogers        "MetricName": "tma_info_thread_uptb",
978*7d124303SIan Rogers        "MetricThreshold": "tma_info_thread_uptb < 6"
97946db21afSIan Rogers    },
98046db21afSIan Rogers    {
98146db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
982*7d124303SIan Rogers        "MetricExpr": "(14 * ITLB_MISSES.STLB_HIT + cpu@ITLB_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * ITLB_MISSES.WALK_COMPLETED) / tma_info_thread_clks",
98346db21afSIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_L3_group;tma_fetch_latency_group",
98446db21afSIan Rogers        "MetricName": "tma_itlb_misses",
98546db21afSIan Rogers        "MetricThreshold": "tma_itlb_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
98646db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: ITLB_MISSES.WALK_COMPLETED",
98746db21afSIan Rogers        "ScaleUnit": "100%"
98846db21afSIan Rogers    },
98946db21afSIan Rogers    {
99046db21afSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
991*7d124303SIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / tma_info_thread_clks, 0)",
99246db21afSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_issueL1;tma_issueMC;tma_memory_bound_group",
99346db21afSIan Rogers        "MetricName": "tma_l1_bound",
99446db21afSIan Rogers        "MetricThreshold": "tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
99546db21afSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_UOPS_RETIRED.L1_HIT_PS;MEM_LOAD_UOPS_RETIRED.HIT_LFB_PS. Related metrics: tma_clears_resteers, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches, tma_ports_utilized_1",
99646db21afSIan Rogers        "ScaleUnit": "100%"
99746db21afSIan Rogers    },
99846db21afSIan Rogers    {
99946db21afSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
1000*7d124303SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks",
100146db21afSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
100246db21afSIan Rogers        "MetricName": "tma_l2_bound",
100346db21afSIan Rogers        "MetricThreshold": "tma_l2_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
100446db21afSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L2_HIT_PS",
100546db21afSIan Rogers        "ScaleUnit": "100%"
100646db21afSIan Rogers    },
100746db21afSIan Rogers    {
100846db21afSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
100946db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_SMT",
1010*7d124303SIan Rogers        "MetricExpr": "MEM_LOAD_UOPS_RETIRED.L3_HIT / (MEM_LOAD_UOPS_RETIRED.L3_HIT + 7 * MEM_LOAD_UOPS_RETIRED.L3_MISS) * CYCLE_ACTIVITY.STALLS_L2_MISS / tma_info_thread_clks",
101146db21afSIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
101246db21afSIan Rogers        "MetricName": "tma_l3_bound",
101346db21afSIan Rogers        "MetricThreshold": "tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
101446db21afSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_HIT_PS",
101546db21afSIan Rogers        "ScaleUnit": "100%"
101646db21afSIan Rogers    },
101746db21afSIan Rogers    {
101846db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
101946db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1020*7d124303SIan Rogers        "MetricExpr": "41 * (MEM_LOAD_UOPS_RETIRED.L3_HIT * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) / tma_info_thread_clks",
102146db21afSIan Rogers        "MetricGroup": "MemoryLat;TopdownL4;tma_L4_group;tma_issueLat;tma_l3_bound_group",
102246db21afSIan Rogers        "MetricName": "tma_l3_hit_latency",
102346db21afSIan Rogers        "MetricThreshold": "tma_l3_hit_latency > 0.1 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
102446db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_UOPS_RETIRED.L3_HIT_PS. Related metrics: tma_mem_latency",
102546db21afSIan Rogers        "ScaleUnit": "100%"
102646db21afSIan Rogers    },
102746db21afSIan Rogers    {
102846db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
1029*7d124303SIan Rogers        "MetricExpr": "ILD_STALL.LCP / tma_info_thread_clks",
103046db21afSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
103146db21afSIan Rogers        "MetricName": "tma_lcp",
103246db21afSIan Rogers        "MetricThreshold": "tma_lcp > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1033*7d124303SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb",
103446db21afSIan Rogers        "ScaleUnit": "100%"
103546db21afSIan Rogers    },
103646db21afSIan Rogers    {
103746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
103846db21afSIan Rogers        "MetricExpr": "tma_retiring - tma_heavy_operations",
103946db21afSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
104046db21afSIan Rogers        "MetricName": "tma_light_operations",
104146db21afSIan Rogers        "MetricThreshold": "tma_light_operations > 0.6",
1042ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
104346db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UopPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
104446db21afSIan Rogers        "ScaleUnit": "100%"
104546db21afSIan Rogers    },
104646db21afSIan Rogers    {
104746db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations",
104846db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1049*7d124303SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_2 + UOPS_DISPATCHED_PORT.PORT_3 + UOPS_DISPATCHED_PORT.PORT_7 - UOPS_DISPATCHED_PORT.PORT_4) / (2 * tma_info_core_core_clks)",
105046db21afSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
105146db21afSIan Rogers        "MetricName": "tma_load_op_utilization",
105246db21afSIan Rogers        "MetricThreshold": "tma_load_op_utilization > 0.6",
105346db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations. Sample with: UOPS_DISPATCHED.PORT_2_3",
105446db21afSIan Rogers        "ScaleUnit": "100%"
105546db21afSIan Rogers    },
105646db21afSIan Rogers    {
105746db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory",
105846db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1059*7d124303SIan Rogers        "MetricExpr": "200 * (MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) / tma_info_thread_clks",
106046db21afSIan Rogers        "MetricGroup": "Server;TopdownL5;tma_L5_group;tma_mem_latency_group",
106146db21afSIan Rogers        "MetricName": "tma_local_dram",
106246db21afSIan Rogers        "MetricThreshold": "tma_local_dram > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
106346db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory. Caching will improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM_PS",
106446db21afSIan Rogers        "ScaleUnit": "100%"
106546db21afSIan Rogers    },
106646db21afSIan Rogers    {
106746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
106846db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1069*7d124303SIan Rogers        "MetricExpr": "MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO) / tma_info_thread_clks",
107046db21afSIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_l1_bound_group",
107146db21afSIan Rogers        "MetricName": "tma_lock_latency",
107246db21afSIan Rogers        "MetricThreshold": "tma_lock_latency > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
107346db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_UOPS_RETIRED.LOCK_LOADS_PS. Related metrics: tma_store_latency",
107446db21afSIan Rogers        "ScaleUnit": "100%"
107546db21afSIan Rogers    },
107646db21afSIan Rogers    {
107746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
107846db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
107946db21afSIan Rogers        "MetricExpr": "tma_bad_speculation - tma_branch_mispredicts",
108046db21afSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueMC;tma_issueSyncxn",
108146db21afSIan Rogers        "MetricName": "tma_machine_clears",
108246db21afSIan Rogers        "MetricThreshold": "tma_machine_clears > 0.1 & tma_bad_speculation > 0.15",
1083ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
108446db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT. Related metrics: tma_clears_resteers, tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_l1_bound, tma_microcode_sequencer, tma_ms_switches, tma_remote_cache",
108546db21afSIan Rogers        "ScaleUnit": "100%"
108646db21afSIan Rogers    },
108746db21afSIan Rogers    {
108846db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
1089*7d124303SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / tma_info_thread_clks",
109046db21afSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueBW",
109146db21afSIan Rogers        "MetricName": "tma_mem_bandwidth",
109246db21afSIan Rogers        "MetricThreshold": "tma_mem_bandwidth > 0.2 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1093*7d124303SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that). Related metrics: tma_fb_full, tma_info_system_dram_bw_use, tma_sq_full",
109446db21afSIan Rogers        "ScaleUnit": "100%"
109546db21afSIan Rogers    },
109646db21afSIan Rogers    {
109746db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
1098*7d124303SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / tma_info_thread_clks - tma_mem_bandwidth",
109946db21afSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueLat",
110046db21afSIan Rogers        "MetricName": "tma_mem_latency",
110146db21afSIan Rogers        "MetricThreshold": "tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
110246db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that). Related metrics: tma_l3_hit_latency",
110346db21afSIan Rogers        "ScaleUnit": "100%"
110446db21afSIan Rogers    },
110546db21afSIan Rogers    {
110646db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
110746db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1108*7d124303SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_MEM_ANY + RESOURCE_STALLS.SB) / (CYCLE_ACTIVITY.STALLS_TOTAL + UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - (UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC if tma_info_thread_ipc > 1.8 else UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC) - (RS_EVENTS.EMPTY_CYCLES if tma_fetch_latency > 0.1 else 0) + RESOURCE_STALLS.SB) * tma_backend_bound",
110946db21afSIan Rogers        "MetricGroup": "Backend;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
111046db21afSIan Rogers        "MetricName": "tma_memory_bound",
111146db21afSIan Rogers        "MetricThreshold": "tma_memory_bound > 0.2 & tma_backend_bound > 0.2",
1112ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
111346db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
111446db21afSIan Rogers        "ScaleUnit": "100%"
111546db21afSIan Rogers    },
111646db21afSIan Rogers    {
111746db21afSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
1118*7d124303SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * IDQ.MS_UOPS / tma_info_thread_slots",
111946db21afSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueMC;tma_issueMS",
112046db21afSIan Rogers        "MetricName": "tma_microcode_sequencer",
112146db21afSIan Rogers        "MetricThreshold": "tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1",
112246db21afSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_ms_switches",
112346db21afSIan Rogers        "ScaleUnit": "100%"
112446db21afSIan Rogers    },
112546db21afSIan Rogers    {
112646db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage",
112746db21afSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES * tma_branch_resteers / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY)",
112846db21afSIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueBM",
112946db21afSIan Rogers        "MetricName": "tma_mispredicts_resteers",
113046db21afSIan Rogers        "MetricThreshold": "tma_mispredicts_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1131*7d124303SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost",
113246db21afSIan Rogers        "ScaleUnit": "100%"
113346db21afSIan Rogers    },
113446db21afSIan Rogers    {
113546db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
1136*7d124303SIan Rogers        "MetricExpr": "(IDQ.ALL_MITE_CYCLES_ANY_UOPS - IDQ.ALL_MITE_CYCLES_4_UOPS) / tma_info_core_core_clks / 2",
113746db21afSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
113846db21afSIan Rogers        "MetricName": "tma_mite",
1139*7d124303SIan Rogers        "MetricThreshold": "tma_mite > 0.1 & (tma_fetch_bandwidth > 0.1 & tma_frontend_bound > 0.15 & tma_info_thread_ipc / 4 > 0.35)",
114046db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck.",
114146db21afSIan Rogers        "ScaleUnit": "100%"
114246db21afSIan Rogers    },
114346db21afSIan Rogers    {
114446db21afSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
1145*7d124303SIan Rogers        "MetricExpr": "2 * IDQ.MS_SWITCHES / tma_info_thread_clks",
114646db21afSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueMC;tma_issueMS;tma_issueMV;tma_issueSO",
114746db21afSIan Rogers        "MetricName": "tma_ms_switches",
114846db21afSIan Rogers        "MetricThreshold": "tma_ms_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
114946db21afSIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES. Related metrics: tma_clears_resteers, tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_mixing_vectors, tma_serializing_operation",
115046db21afSIan Rogers        "ScaleUnit": "100%"
115146db21afSIan Rogers    },
115246db21afSIan Rogers    {
115346db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch)",
1154*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_0 / tma_info_core_core_clks",
115546db21afSIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
115646db21afSIan Rogers        "MetricName": "tma_port_0",
115746db21afSIan Rogers        "MetricThreshold": "tma_port_0 > 0.6",
115846db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch). Sample with: UOPS_DISPATCHED_PORT.PORT_0. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
115946db21afSIan Rogers        "ScaleUnit": "100%"
116046db21afSIan Rogers    },
116146db21afSIan Rogers    {
116246db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU)",
1163*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_1 / tma_info_core_core_clks",
116446db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
116546db21afSIan Rogers        "MetricName": "tma_port_1",
116646db21afSIan Rogers        "MetricThreshold": "tma_port_1 > 0.6",
116746db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_1. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_5, tma_port_6, tma_ports_utilized_2",
116846db21afSIan Rogers        "ScaleUnit": "100%"
116946db21afSIan Rogers    },
117046db21afSIan Rogers    {
117146db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads)",
1172*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_2 / tma_info_core_core_clks",
117346db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
117446db21afSIan Rogers        "MetricName": "tma_port_2",
117546db21afSIan Rogers        "MetricThreshold": "tma_port_2 > 0.6",
117646db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_2",
117746db21afSIan Rogers        "ScaleUnit": "100%"
117846db21afSIan Rogers    },
117946db21afSIan Rogers    {
118046db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads)",
1181*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_3 / tma_info_core_core_clks",
118246db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
118346db21afSIan Rogers        "MetricName": "tma_port_3",
118446db21afSIan Rogers        "MetricThreshold": "tma_port_3 > 0.6",
118546db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_3",
118646db21afSIan Rogers        "ScaleUnit": "100%"
118746db21afSIan Rogers    },
118846db21afSIan Rogers    {
118946db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data)",
119046db21afSIan Rogers        "MetricExpr": "tma_store_op_utilization",
119146db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_issueSpSt;tma_store_op_utilization_group",
119246db21afSIan Rogers        "MetricName": "tma_port_4",
119346db21afSIan Rogers        "MetricThreshold": "tma_port_4 > 0.6",
119446db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data). Sample with: UOPS_DISPATCHED_PORT.PORT_4. Related metrics: tma_split_stores",
119546db21afSIan Rogers        "ScaleUnit": "100%"
119646db21afSIan Rogers    },
119746db21afSIan Rogers    {
119846db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU)",
1199*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_5 / tma_info_core_core_clks",
120046db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
120146db21afSIan Rogers        "MetricName": "tma_port_5",
120246db21afSIan Rogers        "MetricThreshold": "tma_port_5 > 0.6",
120346db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU). Sample with: UOPS_DISPATCHED.PORT_5. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_6, tma_ports_utilized_2",
120446db21afSIan Rogers        "ScaleUnit": "100%"
120546db21afSIan Rogers    },
120646db21afSIan Rogers    {
120746db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU)",
1208*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_6 / tma_info_core_core_clks",
120946db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
121046db21afSIan Rogers        "MetricName": "tma_port_6",
121146db21afSIan Rogers        "MetricThreshold": "tma_port_6 > 0.6",
121246db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_6. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_ports_utilized_2",
121346db21afSIan Rogers        "ScaleUnit": "100%"
121446db21afSIan Rogers    },
121546db21afSIan Rogers    {
121646db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address)",
1217*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_7 / tma_info_core_core_clks",
121846db21afSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_store_op_utilization_group",
121946db21afSIan Rogers        "MetricName": "tma_port_7",
122046db21afSIan Rogers        "MetricThreshold": "tma_port_7 > 0.6",
122146db21afSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address). Sample with: UOPS_DISPATCHED_PORT.PORT_7",
122246db21afSIan Rogers        "ScaleUnit": "100%"
122346db21afSIan Rogers    },
122446db21afSIan Rogers    {
122546db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
122646db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1227*7d124303SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_TOTAL + UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - (UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC if tma_info_thread_ipc > 1.8 else UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC) - (RS_EVENTS.EMPTY_CYCLES if tma_fetch_latency > 0.1 else 0) + RESOURCE_STALLS.SB - RESOURCE_STALLS.SB - CYCLE_ACTIVITY.STALLS_MEM_ANY) / tma_info_thread_clks",
122846db21afSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group",
122946db21afSIan Rogers        "MetricName": "tma_ports_utilization",
123046db21afSIan Rogers        "MetricThreshold": "tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
123146db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
123246db21afSIan Rogers        "ScaleUnit": "100%"
123346db21afSIan Rogers    },
123446db21afSIan Rogers    {
123546db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1236*7d124303SIan Rogers        "MetricExpr": "(cpu@UOPS_EXECUTED.CORE\\,inv\\,cmask\\=1@ / 2 if #SMT_on else (CYCLE_ACTIVITY.STALLS_TOTAL - (RS_EVENTS.EMPTY_CYCLES if tma_fetch_latency > 0.1 else 0)) / tma_info_core_core_clks)",
123746db21afSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
123846db21afSIan Rogers        "MetricName": "tma_ports_utilized_0",
123946db21afSIan Rogers        "MetricThreshold": "tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
124046db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
124146db21afSIan Rogers        "ScaleUnit": "100%"
124246db21afSIan Rogers    },
124346db21afSIan Rogers    {
124446db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1245*7d124303SIan Rogers        "MetricExpr": "((cpu@UOPS_EXECUTED.CORE\\,cmask\\=1@ - cpu@UOPS_EXECUTED.CORE\\,cmask\\=2@) / 2 if #SMT_on else (UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC) / tma_info_core_core_clks)",
124646db21afSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issueL1;tma_ports_utilization_group",
124746db21afSIan Rogers        "MetricName": "tma_ports_utilized_1",
124846db21afSIan Rogers        "MetricThreshold": "tma_ports_utilized_1 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
124946db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful. Related metrics: tma_l1_bound",
125046db21afSIan Rogers        "ScaleUnit": "100%"
125146db21afSIan Rogers    },
125246db21afSIan Rogers    {
125346db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1254*7d124303SIan Rogers        "MetricExpr": "((cpu@UOPS_EXECUTED.CORE\\,cmask\\=2@ - cpu@UOPS_EXECUTED.CORE\\,cmask\\=3@) / 2 if #SMT_on else (UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC - UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC) / tma_info_core_core_clks)",
125546db21afSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issue2P;tma_ports_utilization_group",
125646db21afSIan Rogers        "MetricName": "tma_ports_utilized_2",
125746db21afSIan Rogers        "MetricThreshold": "tma_ports_utilized_2 > 0.15 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
125846db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6",
125946db21afSIan Rogers        "ScaleUnit": "100%"
126046db21afSIan Rogers    },
126146db21afSIan Rogers    {
126246db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).",
1263*7d124303SIan Rogers        "MetricExpr": "(cpu@UOPS_EXECUTED.CORE\\,cmask\\=3@ / 2 if #SMT_on else UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC) / tma_info_core_core_clks",
126446db21afSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
126546db21afSIan Rogers        "MetricName": "tma_ports_utilized_3m",
126646db21afSIan Rogers        "MetricThreshold": "tma_ports_utilized_3m > 0.7 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
126746db21afSIan Rogers        "ScaleUnit": "100%"
126846db21afSIan Rogers    },
126946db21afSIan Rogers    {
127046db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues",
127146db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1272*7d124303SIan Rogers        "MetricExpr": "(200 * (MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) + 180 * (MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD)))) / tma_info_thread_clks",
127346db21afSIan Rogers        "MetricGroup": "Offcore;Server;Snoop;TopdownL5;tma_L5_group;tma_issueSyncxn;tma_mem_latency_group",
127446db21afSIan Rogers        "MetricName": "tma_remote_cache",
127546db21afSIan Rogers        "MetricThreshold": "tma_remote_cache > 0.05 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
127646db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM_PS;MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD_PS. Related metrics: tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_machine_clears",
127746db21afSIan Rogers        "ScaleUnit": "100%"
127846db21afSIan Rogers    },
127946db21afSIan Rogers    {
128046db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory",
128146db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1282*7d124303SIan Rogers        "MetricExpr": "310 * (MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_UOPS_RETIRED.HIT_LFB / (MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS + MEM_LOAD_UOPS_L3_MISS_RETIRED.LOCAL_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_HITM + MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_FWD))) / tma_info_thread_clks",
128346db21afSIan Rogers        "MetricGroup": "Server;Snoop;TopdownL5;tma_L5_group;tma_mem_latency_group",
128446db21afSIan Rogers        "MetricName": "tma_remote_dram",
128546db21afSIan Rogers        "MetricThreshold": "tma_remote_dram > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
128646db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_UOPS_L3_MISS_RETIRED.REMOTE_DRAM_PS",
128746db21afSIan Rogers        "ScaleUnit": "100%"
128846db21afSIan Rogers    },
128946db21afSIan Rogers    {
129046db21afSIan Rogers        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
1291*7d124303SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / tma_info_thread_slots",
129246db21afSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
129346db21afSIan Rogers        "MetricName": "tma_retiring",
129446db21afSIan Rogers        "MetricThreshold": "tma_retiring > 0.7 | tma_heavy_operations > 0.1",
1295ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
129646db21afSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided. Sample with: UOPS_RETIRED.RETIRE_SLOTS",
129746db21afSIan Rogers        "ScaleUnit": "100%"
129846db21afSIan Rogers    },
129946db21afSIan Rogers    {
130046db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
130146db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1302*7d124303SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * LD_BLOCKS.NO_SR / tma_info_thread_clks",
130346db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
130446db21afSIan Rogers        "MetricName": "tma_split_loads",
130546db21afSIan Rogers        "MetricThreshold": "tma_split_loads > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
130646db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary. Sample with: MEM_UOPS_RETIRED.SPLIT_LOADS_PS",
130746db21afSIan Rogers        "ScaleUnit": "100%"
130846db21afSIan Rogers    },
130946db21afSIan Rogers    {
131046db21afSIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
1311*7d124303SIan Rogers        "MetricExpr": "2 * MEM_UOPS_RETIRED.SPLIT_STORES / tma_info_core_core_clks",
131246db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_issueSpSt;tma_store_bound_group",
131346db21afSIan Rogers        "MetricName": "tma_split_stores",
131446db21afSIan Rogers        "MetricThreshold": "tma_split_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
131546db21afSIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_UOPS_RETIRED.SPLIT_STORES_PS. Related metrics: tma_port_4",
131646db21afSIan Rogers        "ScaleUnit": "100%"
131746db21afSIan Rogers    },
131846db21afSIan Rogers    {
131946db21afSIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
1320*7d124303SIan Rogers        "MetricExpr": "(OFFCORE_REQUESTS_BUFFER.SQ_FULL / 2 if #SMT_on else OFFCORE_REQUESTS_BUFFER.SQ_FULL) / tma_info_core_core_clks",
132146db21afSIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueBW;tma_l3_bound_group",
132246db21afSIan Rogers        "MetricName": "tma_sq_full",
132346db21afSIan Rogers        "MetricThreshold": "tma_sq_full > 0.3 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1324*7d124303SIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). Related metrics: tma_fb_full, tma_info_system_dram_bw_use, tma_mem_bandwidth",
132546db21afSIan Rogers        "ScaleUnit": "100%"
132646db21afSIan Rogers    },
132746db21afSIan Rogers    {
132846db21afSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
1329*7d124303SIan Rogers        "MetricExpr": "RESOURCE_STALLS.SB / tma_info_thread_clks",
133046db21afSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
133146db21afSIan Rogers        "MetricName": "tma_store_bound",
133246db21afSIan Rogers        "MetricThreshold": "tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
133346db21afSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_UOPS_RETIRED.ALL_STORES_PS",
133446db21afSIan Rogers        "ScaleUnit": "100%"
133546db21afSIan Rogers    },
133646db21afSIan Rogers    {
133746db21afSIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
1338*7d124303SIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / tma_info_thread_clks",
133946db21afSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
134046db21afSIan Rogers        "MetricName": "tma_store_fwd_blk",
134146db21afSIan Rogers        "MetricThreshold": "tma_store_fwd_blk > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
134246db21afSIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
134346db21afSIan Rogers        "ScaleUnit": "100%"
134446db21afSIan Rogers    },
134546db21afSIan Rogers    {
134646db21afSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
134746db21afSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1348*7d124303SIan Rogers        "MetricExpr": "(L2_RQSTS.RFO_HIT * 9 * (1 - MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES) + (1 - MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / tma_info_thread_clks",
134946db21afSIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_issueSL;tma_store_bound_group",
135046db21afSIan Rogers        "MetricName": "tma_store_latency",
135146db21afSIan Rogers        "MetricThreshold": "tma_store_latency > 0.1 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
135246db21afSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full). Related metrics: tma_fb_full, tma_lock_latency",
135346db21afSIan Rogers        "ScaleUnit": "100%"
135446db21afSIan Rogers    },
135546db21afSIan Rogers    {
135646db21afSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
1357*7d124303SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_4 / tma_info_core_core_clks",
135846db21afSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
135946db21afSIan Rogers        "MetricName": "tma_store_op_utilization",
136046db21afSIan Rogers        "MetricThreshold": "tma_store_op_utilization > 0.6",
136146db21afSIan Rogers        "ScaleUnit": "100%"
136246db21afSIan Rogers    },
136346db21afSIan Rogers    {
136446db21afSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
136546db21afSIan Rogers        "MetricExpr": "tma_branch_resteers - tma_mispredicts_resteers - tma_clears_resteers",
136646db21afSIan Rogers        "MetricGroup": "BigFoot;FetchLat;TopdownL4;tma_L4_group;tma_branch_resteers_group",
136746db21afSIan Rogers        "MetricName": "tma_unknown_branches",
136846db21afSIan Rogers        "MetricThreshold": "tma_unknown_branches > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
136946db21afSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (e.g. first time the branch is fetched or hitting BTB capacity limit). Sample with: BACLEARS.ANY",
137046db21afSIan Rogers        "ScaleUnit": "100%"
137146db21afSIan Rogers    },
137246db21afSIan Rogers    {
137346db21afSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
1374*7d124303SIan Rogers        "MetricExpr": "INST_RETIRED.X87 * tma_info_thread_uoppi / UOPS_RETIRED.RETIRE_SLOTS",
137546db21afSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_L4_group;tma_fp_arith_group",
137646db21afSIan Rogers        "MetricName": "tma_x87_use",
137746db21afSIan Rogers        "MetricThreshold": "tma_x87_use > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
137846db21afSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
137946db21afSIan Rogers        "ScaleUnit": "100%"
1380*7d124303SIan Rogers    },
1381*7d124303SIan Rogers    {
1382*7d124303SIan Rogers        "BriefDescription": "Uncore operating frequency in GHz",
1383*7d124303SIan Rogers        "MetricExpr": "UNC_C_CLOCKTICKS / (#num_cores / #num_packages * #num_packages) / 1e9 / duration_time",
1384*7d124303SIan Rogers        "MetricName": "uncore_frequency",
1385*7d124303SIan Rogers        "ScaleUnit": "1GHz"
13866d75abd3SAndi Kleen    }
13876d75abd3SAndi Kleen]
1388