1cf979623SAndi Kleen[
2cf979623SAndi Kleen    {
3fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
4*eb4f8d77SIan Rogers        "MetricExpr": "IDQ_UOPS_NOT_DELIVERED.CORE / SLOTS",
5*eb4f8d77SIan Rogers        "MetricGroup": "PGO;TopdownL1;tma_L1_group",
6*eb4f8d77SIan Rogers        "MetricName": "tma_frontend_bound",
7*eb4f8d77SIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Machine_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound.",
8*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
9fd550098SAndi Kleen    },
10fd550098SAndi Kleen    {
11*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
12*eb4f8d77SIan Rogers        "MetricExpr": "4 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE / SLOTS",
13*eb4f8d77SIan Rogers        "MetricGroup": "Frontend;TopdownL2;tma_L2_group;tma_frontend_bound_group",
14*eb4f8d77SIan Rogers        "MetricName": "tma_fetch_latency",
15*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: RS_EVENTS.EMPTY_END",
16*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
17*eb4f8d77SIan Rogers    },
18*eb4f8d77SIan Rogers    {
19*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses.",
20*eb4f8d77SIan Rogers        "MetricExpr": "ICACHE.IFDATA_STALL / CLKS",
21*eb4f8d77SIan Rogers        "MetricGroup": "BigFoot;FetchLat;IcMiss;TopdownL3;tma_fetch_latency_group",
22*eb4f8d77SIan Rogers        "MetricName": "tma_icache_misses",
23*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
24*eb4f8d77SIan Rogers    },
25*eb4f8d77SIan Rogers    {
26*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
27*eb4f8d77SIan Rogers        "MetricExpr": "(14 * ITLB_MISSES.STLB_HIT + cpu@ITLB_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * ITLB_MISSES.WALK_COMPLETED) / CLKS",
28*eb4f8d77SIan Rogers        "MetricGroup": "BigFoot;FetchLat;MemoryTLB;TopdownL3;tma_fetch_latency_group",
29*eb4f8d77SIan Rogers        "MetricName": "tma_itlb_misses",
30*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: ITLB_MISSES.WALK_COMPLETED",
31*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
32*eb4f8d77SIan Rogers    },
33*eb4f8d77SIan Rogers    {
34*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
35*eb4f8d77SIan Rogers        "MetricExpr": "12 * (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY) / CLKS",
36*eb4f8d77SIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_fetch_latency_group",
37*eb4f8d77SIan Rogers        "MetricName": "tma_branch_resteers",
38*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
39*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
40*eb4f8d77SIan Rogers    },
41*eb4f8d77SIan Rogers    {
42*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. ",
43*eb4f8d77SIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES * tma_branch_resteers / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY)",
44*eb4f8d77SIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL4;tma_branch_resteers_group",
45*eb4f8d77SIan Rogers        "MetricName": "tma_mispredicts_resteers",
46*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
47*eb4f8d77SIan Rogers    },
48*eb4f8d77SIan Rogers    {
49*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. ",
50*eb4f8d77SIan Rogers        "MetricExpr": "MACHINE_CLEARS.COUNT * tma_branch_resteers / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT + BACLEARS.ANY)",
51*eb4f8d77SIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_branch_resteers_group",
52*eb4f8d77SIan Rogers        "MetricName": "tma_clears_resteers",
53*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
54*eb4f8d77SIan Rogers    },
55*eb4f8d77SIan Rogers    {
56*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
57*eb4f8d77SIan Rogers        "MetricExpr": "tma_branch_resteers - tma_mispredicts_resteers - tma_clears_resteers",
58*eb4f8d77SIan Rogers        "MetricGroup": "BigFoot;FetchLat;TopdownL4;tma_branch_resteers_group",
59*eb4f8d77SIan Rogers        "MetricName": "tma_unknown_branches",
60*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (First fetch or hitting BPU capacity limit). Sample with: BACLEARS.ANY",
61*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
62*eb4f8d77SIan Rogers    },
63*eb4f8d77SIan Rogers    {
64*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
65*eb4f8d77SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / CLKS",
66*eb4f8d77SIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_fetch_latency_group",
67*eb4f8d77SIan Rogers        "MetricName": "tma_dsb_switches",
68*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty.",
69*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
70*eb4f8d77SIan Rogers    },
71*eb4f8d77SIan Rogers    {
72*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
73*eb4f8d77SIan Rogers        "MetricExpr": "ILD_STALL.LCP / CLKS",
74*eb4f8d77SIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_fetch_latency_group",
75*eb4f8d77SIan Rogers        "MetricName": "tma_lcp",
76*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs.",
77*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
78*eb4f8d77SIan Rogers    },
79*eb4f8d77SIan Rogers    {
80*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
81*eb4f8d77SIan Rogers        "MetricExpr": "2 * IDQ.MS_SWITCHES / CLKS",
82*eb4f8d77SIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_fetch_latency_group",
83*eb4f8d77SIan Rogers        "MetricName": "tma_ms_switches",
84*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES",
85*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
86*eb4f8d77SIan Rogers    },
87*eb4f8d77SIan Rogers    {
88*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
89*eb4f8d77SIan Rogers        "MetricExpr": "tma_frontend_bound - tma_fetch_latency",
90*eb4f8d77SIan Rogers        "MetricGroup": "FetchBW;Frontend;TopdownL2;tma_L2_group;tma_frontend_bound_group",
91*eb4f8d77SIan Rogers        "MetricName": "tma_fetch_bandwidth",
92*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend.",
93*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
94*eb4f8d77SIan Rogers    },
95*eb4f8d77SIan Rogers    {
96*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
97*eb4f8d77SIan Rogers        "MetricExpr": "(IDQ.ALL_MITE_CYCLES_ANY_UOPS - IDQ.ALL_MITE_CYCLES_4_UOPS) / CORE_CLKS / 2",
98*eb4f8d77SIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_fetch_bandwidth_group",
99*eb4f8d77SIan Rogers        "MetricName": "tma_mite",
100*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck.",
101*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
102*eb4f8d77SIan Rogers    },
103*eb4f8d77SIan Rogers    {
104*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
105*eb4f8d77SIan Rogers        "MetricExpr": "(IDQ.ALL_DSB_CYCLES_ANY_UOPS - IDQ.ALL_DSB_CYCLES_4_UOPS) / CORE_CLKS / 2",
106*eb4f8d77SIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_fetch_bandwidth_group",
107*eb4f8d77SIan Rogers        "MetricName": "tma_dsb",
108*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
109*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
110fd550098SAndi Kleen    },
111fd550098SAndi Kleen    {
112fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
113*eb4f8d77SIan Rogers        "MetricExpr": "(UOPS_ISSUED.ANY - UOPS_RETIRED.RETIRE_SLOTS + 4 * ((INT_MISC.RECOVERY_CYCLES_ANY / 2) if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / SLOTS",
114*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
115*eb4f8d77SIan Rogers        "MetricName": "tma_bad_speculation",
116*eb4f8d77SIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
117*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
118fd550098SAndi Kleen    },
119fd550098SAndi Kleen    {
120*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
121*eb4f8d77SIan Rogers        "MetricExpr": "(BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * tma_bad_speculation",
122*eb4f8d77SIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;TopdownL2;tma_L2_group;tma_bad_speculation_group",
123*eb4f8d77SIan Rogers        "MetricName": "tma_branch_mispredicts",
124*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
125*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
126*eb4f8d77SIan Rogers    },
127*eb4f8d77SIan Rogers    {
128*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
129*eb4f8d77SIan Rogers        "MetricExpr": "tma_bad_speculation - tma_branch_mispredicts",
130*eb4f8d77SIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL2;tma_L2_group;tma_bad_speculation_group",
131*eb4f8d77SIan Rogers        "MetricName": "tma_machine_clears",
132*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT",
133*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
134fd550098SAndi Kleen    },
135fd550098SAndi Kleen    {
136fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
137*eb4f8d77SIan Rogers        "MetricExpr": "1 - (tma_frontend_bound + tma_bad_speculation + tma_retiring)",
138*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
139*eb4f8d77SIan Rogers        "MetricName": "tma_backend_bound",
140*eb4f8d77SIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound.",
141*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
142fd550098SAndi Kleen    },
143fd550098SAndi Kleen    {
144*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
145*eb4f8d77SIan Rogers        "MetricExpr": "((CYCLE_ACTIVITY.STALLS_MEM_ANY + RESOURCE_STALLS.SB) / (CYCLE_ACTIVITY.STALLS_TOTAL + UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC if (IPC > 1.8) else UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC - RS_EVENTS.EMPTY_CYCLES if (tma_fetch_latency > 0.1) else RESOURCE_STALLS.SB)) * tma_backend_bound",
146*eb4f8d77SIan Rogers        "MetricGroup": "Backend;TopdownL2;tma_L2_group;tma_backend_bound_group",
147*eb4f8d77SIan Rogers        "MetricName": "tma_memory_bound",
148*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
149*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
150*eb4f8d77SIan Rogers    },
151*eb4f8d77SIan Rogers    {
152*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
153*eb4f8d77SIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / CLKS, 0)",
154*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
155*eb4f8d77SIan Rogers        "MetricName": "tma_l1_bound",
156*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_UOPS_RETIRED.L1_HIT_PS;MEM_LOAD_UOPS_RETIRED.HIT_LFB_PS",
157*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
158*eb4f8d77SIan Rogers    },
159*eb4f8d77SIan Rogers    {
160*eb4f8d77SIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
161*eb4f8d77SIan Rogers        "MetricExpr": "(8 * DTLB_LOAD_MISSES.STLB_HIT + cpu@DTLB_LOAD_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * DTLB_LOAD_MISSES.WALK_COMPLETED) / CLKS",
162*eb4f8d77SIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_l1_bound_group",
163*eb4f8d77SIan Rogers        "MetricName": "tma_dtlb_load",
164*eb4f8d77SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_UOPS_RETIRED.STLB_MISS_LOADS_PS",
165*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
166*eb4f8d77SIan Rogers    },
167*eb4f8d77SIan Rogers    {
168*eb4f8d77SIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
169*eb4f8d77SIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / CLKS",
170*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_l1_bound_group",
171*eb4f8d77SIan Rogers        "MetricName": "tma_store_fwd_blk",
172*eb4f8d77SIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
173*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
174*eb4f8d77SIan Rogers    },
175*eb4f8d77SIan Rogers    {
176*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
177*eb4f8d77SIan Rogers        "MetricExpr": "(MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO) / CLKS",
178*eb4f8d77SIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_l1_bound_group",
179*eb4f8d77SIan Rogers        "MetricName": "tma_lock_latency",
180*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_UOPS_RETIRED.LOCK_LOADS_PS",
181*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
182*eb4f8d77SIan Rogers    },
183*eb4f8d77SIan Rogers    {
184*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
185*eb4f8d77SIan Rogers        "MetricExpr": "Load_Miss_Real_Latency * LD_BLOCKS.NO_SR / CLKS",
186*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_l1_bound_group",
187*eb4f8d77SIan Rogers        "MetricName": "tma_split_loads",
188*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary.  Sample with: MEM_UOPS_RETIRED.SPLIT_LOADS_PS",
189*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
190*eb4f8d77SIan Rogers    },
191*eb4f8d77SIan Rogers    {
192*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
193*eb4f8d77SIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / CLKS",
194*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_l1_bound_group",
195*eb4f8d77SIan Rogers        "MetricName": "tma_4k_aliasing",
196*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
197*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
198*eb4f8d77SIan Rogers    },
199*eb4f8d77SIan Rogers    {
200*eb4f8d77SIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
201*eb4f8d77SIan Rogers        "MetricExpr": "Load_Miss_Real_Latency * cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@ / CLKS",
202*eb4f8d77SIan Rogers        "MetricGroup": "MemoryBW;TopdownL4;tma_l1_bound_group",
203*eb4f8d77SIan Rogers        "MetricName": "tma_fb_full",
204*eb4f8d77SIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory).",
205*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
206*eb4f8d77SIan Rogers    },
207*eb4f8d77SIan Rogers    {
208*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
209*eb4f8d77SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / CLKS",
210*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
211*eb4f8d77SIan Rogers        "MetricName": "tma_l2_bound",
212*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L2_HIT_PS",
213*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
214*eb4f8d77SIan Rogers    },
215*eb4f8d77SIan Rogers    {
216*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
217*eb4f8d77SIan Rogers        "MetricExpr": "(MEM_LOAD_UOPS_RETIRED.L3_HIT / (MEM_LOAD_UOPS_RETIRED.L3_HIT + 7 * MEM_LOAD_UOPS_RETIRED.L3_MISS)) * CYCLE_ACTIVITY.STALLS_L2_MISS / CLKS",
218*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
219*eb4f8d77SIan Rogers        "MetricName": "tma_l3_bound",
220*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_HIT_PS",
221*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
222*eb4f8d77SIan Rogers    },
223*eb4f8d77SIan Rogers    {
224*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
225*eb4f8d77SIan Rogers        "MetricExpr": "(60 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM * (1 + mem_load_uops_retired.hit_lfb / ((MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS) + MEM_LOAD_UOPS_RETIRED.L3_MISS))) + 43 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS * (1 + mem_load_uops_retired.hit_lfb / ((MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS) + MEM_LOAD_UOPS_RETIRED.L3_MISS)))) / CLKS",
226*eb4f8d77SIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_l3_bound_group",
227*eb4f8d77SIan Rogers        "MetricName": "tma_contested_accesses",
228*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS_PS",
229*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
230*eb4f8d77SIan Rogers    },
231*eb4f8d77SIan Rogers    {
232*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
233*eb4f8d77SIan Rogers        "MetricExpr": "43 * (MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT * (1 + mem_load_uops_retired.hit_lfb / ((MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS) + MEM_LOAD_UOPS_RETIRED.L3_MISS))) / CLKS",
234*eb4f8d77SIan Rogers        "MetricGroup": "Offcore;Snoop;TopdownL4;tma_l3_bound_group",
235*eb4f8d77SIan Rogers        "MetricName": "tma_data_sharing",
236*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT_PS",
237*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
238*eb4f8d77SIan Rogers    },
239*eb4f8d77SIan Rogers    {
240*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
241*eb4f8d77SIan Rogers        "MetricExpr": "29 * (MEM_LOAD_UOPS_RETIRED.L3_HIT * (1 + mem_load_uops_retired.hit_lfb / ((MEM_LOAD_UOPS_RETIRED.L2_HIT + MEM_LOAD_UOPS_RETIRED.L3_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_HITM + MEM_LOAD_UOPS_L3_HIT_RETIRED.XSNP_MISS) + MEM_LOAD_UOPS_RETIRED.L3_MISS))) / CLKS",
242*eb4f8d77SIan Rogers        "MetricGroup": "MemoryLat;TopdownL4;tma_l3_bound_group",
243*eb4f8d77SIan Rogers        "MetricName": "tma_l3_hit_latency",
244*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_UOPS_RETIRED.L3_HIT_PS",
245*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
246*eb4f8d77SIan Rogers    },
247*eb4f8d77SIan Rogers    {
248*eb4f8d77SIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
249*eb4f8d77SIan Rogers        "MetricExpr": "((OFFCORE_REQUESTS_BUFFER.SQ_FULL / 2) if #SMT_on else OFFCORE_REQUESTS_BUFFER.SQ_FULL) / CORE_CLKS",
250*eb4f8d77SIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_l3_bound_group",
251*eb4f8d77SIan Rogers        "MetricName": "tma_sq_full",
252*eb4f8d77SIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). The Super Queue is used for requests to access the L2 cache or to go out to the Uncore.",
253*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
254*eb4f8d77SIan Rogers    },
255*eb4f8d77SIan Rogers    {
256*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
257*eb4f8d77SIan Rogers        "MetricExpr": "(1 - (MEM_LOAD_UOPS_RETIRED.L3_HIT / (MEM_LOAD_UOPS_RETIRED.L3_HIT + 7 * MEM_LOAD_UOPS_RETIRED.L3_MISS))) * CYCLE_ACTIVITY.STALLS_L2_MISS / CLKS",
258*eb4f8d77SIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
259*eb4f8d77SIan Rogers        "MetricName": "tma_dram_bound",
260*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_UOPS_RETIRED.L3_MISS_PS",
261*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
262*eb4f8d77SIan Rogers    },
263*eb4f8d77SIan Rogers    {
264*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM)",
265*eb4f8d77SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / CLKS",
266*eb4f8d77SIan Rogers        "MetricGroup": "MemoryBW;Offcore;TopdownL4;tma_dram_bound_group",
267*eb4f8d77SIan Rogers        "MetricName": "tma_mem_bandwidth",
268*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory (DRAM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that).",
269*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
270*eb4f8d77SIan Rogers    },
271*eb4f8d77SIan Rogers    {
272*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM)",
273*eb4f8d77SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / CLKS - tma_mem_bandwidth",
274*eb4f8d77SIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_dram_bound_group",
275*eb4f8d77SIan Rogers        "MetricName": "tma_mem_latency",
276*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory (DRAM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that).",
277*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
278*eb4f8d77SIan Rogers    },
279*eb4f8d77SIan Rogers    {
280*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
281*eb4f8d77SIan Rogers        "MetricExpr": "RESOURCE_STALLS.SB / CLKS",
282*eb4f8d77SIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_memory_bound_group",
283*eb4f8d77SIan Rogers        "MetricName": "tma_store_bound",
284*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_UOPS_RETIRED.ALL_STORES_PS",
285*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
286*eb4f8d77SIan Rogers    },
287*eb4f8d77SIan Rogers    {
288*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
289*eb4f8d77SIan Rogers        "MetricExpr": "((L2_RQSTS.RFO_HIT * 9 * (1 - (MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES))) + (1 - (MEM_UOPS_RETIRED.LOCK_LOADS / MEM_UOPS_RETIRED.ALL_STORES)) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / CLKS",
290*eb4f8d77SIan Rogers        "MetricGroup": "MemoryLat;Offcore;TopdownL4;tma_store_bound_group",
291*eb4f8d77SIan Rogers        "MetricName": "tma_store_latency",
292*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full)",
293*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
294*eb4f8d77SIan Rogers    },
295*eb4f8d77SIan Rogers    {
296*eb4f8d77SIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
297*eb4f8d77SIan Rogers        "MetricExpr": "60 * OFFCORE_RESPONSE.DEMAND_RFO.L3_HIT.SNOOP_HITM / CLKS",
298*eb4f8d77SIan Rogers        "MetricGroup": "DataSharing;Offcore;Snoop;TopdownL4;tma_store_bound_group",
299*eb4f8d77SIan Rogers        "MetricName": "tma_false_sharing",
300*eb4f8d77SIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line.  Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;OFFCORE_RESPONSE.DEMAND_RFO.L3_HIT.SNOOP_HITM",
301*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
302*eb4f8d77SIan Rogers    },
303*eb4f8d77SIan Rogers    {
304*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
305*eb4f8d77SIan Rogers        "MetricExpr": "2 * MEM_UOPS_RETIRED.SPLIT_STORES / CORE_CLKS",
306*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_store_bound_group",
307*eb4f8d77SIan Rogers        "MetricName": "tma_split_stores",
308*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_UOPS_RETIRED.SPLIT_STORES_PS",
309*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
310*eb4f8d77SIan Rogers    },
311*eb4f8d77SIan Rogers    {
312*eb4f8d77SIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
313*eb4f8d77SIan Rogers        "MetricExpr": "(8 * DTLB_STORE_MISSES.STLB_HIT + cpu@DTLB_STORE_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * DTLB_STORE_MISSES.WALK_COMPLETED) / CLKS",
314*eb4f8d77SIan Rogers        "MetricGroup": "MemoryTLB;TopdownL4;tma_store_bound_group",
315*eb4f8d77SIan Rogers        "MetricName": "tma_dtlb_store",
316*eb4f8d77SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_UOPS_RETIRED.STLB_MISS_STORES_PS",
317*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
318*eb4f8d77SIan Rogers    },
319*eb4f8d77SIan Rogers    {
320*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
321*eb4f8d77SIan Rogers        "MetricExpr": "tma_backend_bound - tma_memory_bound",
322*eb4f8d77SIan Rogers        "MetricGroup": "Backend;Compute;TopdownL2;tma_L2_group;tma_backend_bound_group",
323*eb4f8d77SIan Rogers        "MetricName": "tma_core_bound",
324*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
325*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
326*eb4f8d77SIan Rogers    },
327*eb4f8d77SIan Rogers    {
328*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
329*eb4f8d77SIan Rogers        "MetricExpr": "ARITH.FPU_DIV_ACTIVE / CORE_CLKS",
330*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL3;tma_core_bound_group",
331*eb4f8d77SIan Rogers        "MetricName": "tma_divider",
332*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_UOPS",
333*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
334*eb4f8d77SIan Rogers    },
335*eb4f8d77SIan Rogers    {
336*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
337*eb4f8d77SIan Rogers        "MetricExpr": "((CYCLE_ACTIVITY.STALLS_TOTAL + UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC if (IPC > 1.8) else UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC - RS_EVENTS.EMPTY_CYCLES if (tma_fetch_latency > 0.1) else RESOURCE_STALLS.SB) - RESOURCE_STALLS.SB - CYCLE_ACTIVITY.STALLS_MEM_ANY) / CLKS",
338*eb4f8d77SIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_core_bound_group",
339*eb4f8d77SIan Rogers        "MetricName": "tma_ports_utilization",
340*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
341*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
342*eb4f8d77SIan Rogers    },
343*eb4f8d77SIan Rogers    {
344*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
345*eb4f8d77SIan Rogers        "MetricExpr": "(cpu@UOPS_EXECUTED.CORE\\,inv\\,cmask\\=1@) / 2 if #SMT_on else (CYCLE_ACTIVITY.STALLS_TOTAL - RS_EVENTS.EMPTY_CYCLES if (tma_fetch_latency > 0.1) else 0) / CORE_CLKS",
346*eb4f8d77SIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_ports_utilization_group",
347*eb4f8d77SIan Rogers        "MetricName": "tma_ports_utilized_0",
348*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
349*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
350*eb4f8d77SIan Rogers    },
351*eb4f8d77SIan Rogers    {
352*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
353*eb4f8d77SIan Rogers        "MetricExpr": "(cpu@UOPS_EXECUTED.CORE\\,cmask\\=1@ - cpu@UOPS_EXECUTED.CORE\\,cmask\\=2@) / 2 if #SMT_on else (UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC - UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC) / CORE_CLKS",
354*eb4f8d77SIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_ports_utilization_group",
355*eb4f8d77SIan Rogers        "MetricName": "tma_ports_utilized_1",
356*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful.",
357*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
358*eb4f8d77SIan Rogers    },
359*eb4f8d77SIan Rogers    {
360*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
361*eb4f8d77SIan Rogers        "MetricExpr": "(cpu@UOPS_EXECUTED.CORE\\,cmask\\=2@ - cpu@UOPS_EXECUTED.CORE\\,cmask\\=3@) / 2 if #SMT_on else (UOPS_EXECUTED.CYCLES_GE_2_UOPS_EXEC - UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC) / CORE_CLKS",
362*eb4f8d77SIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_ports_utilization_group",
363*eb4f8d77SIan Rogers        "MetricName": "tma_ports_utilized_2",
364*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop.",
365*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
366*eb4f8d77SIan Rogers    },
367*eb4f8d77SIan Rogers    {
368*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).",
369*eb4f8d77SIan Rogers        "MetricExpr": "((cpu@UOPS_EXECUTED.CORE\\,cmask\\=3@ / 2) if #SMT_on else UOPS_EXECUTED.CYCLES_GE_3_UOPS_EXEC) / CORE_CLKS",
370*eb4f8d77SIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_ports_utilization_group",
371*eb4f8d77SIan Rogers        "MetricName": "tma_ports_utilized_3m",
372*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
373*eb4f8d77SIan Rogers    },
374*eb4f8d77SIan Rogers    {
375*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
376*eb4f8d77SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_0 + UOPS_DISPATCHED_PORT.PORT_1 + UOPS_DISPATCHED_PORT.PORT_5 + UOPS_DISPATCHED_PORT.PORT_6) / (4 * CORE_CLKS)",
377*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL5;tma_ports_utilized_3m_group",
378*eb4f8d77SIan Rogers        "MetricName": "tma_alu_op_utilization",
379*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
380*eb4f8d77SIan Rogers    },
381*eb4f8d77SIan Rogers    {
382*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch) Sample with: UOPS_DISPATCHED_PORT.PORT_0",
383*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_0 / CORE_CLKS",
384*eb4f8d77SIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_alu_op_utilization_group",
385*eb4f8d77SIan Rogers        "MetricName": "tma_port_0",
386*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
387*eb4f8d77SIan Rogers    },
388*eb4f8d77SIan Rogers    {
389*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU) Sample with: UOPS_DISPATCHED_PORT.PORT_1",
390*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_1 / CORE_CLKS",
391*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_alu_op_utilization_group",
392*eb4f8d77SIan Rogers        "MetricName": "tma_port_1",
393*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
394*eb4f8d77SIan Rogers    },
395*eb4f8d77SIan Rogers    {
396*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU) Sample with: UOPS_DISPATCHED.PORT_5",
397*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_5 / CORE_CLKS",
398*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_alu_op_utilization_group",
399*eb4f8d77SIan Rogers        "MetricName": "tma_port_5",
400*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
401*eb4f8d77SIan Rogers    },
402*eb4f8d77SIan Rogers    {
403*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+]Primary Branch and simple ALU) Sample with: UOPS_DISPATCHED_PORT.PORT_6",
404*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_6 / CORE_CLKS",
405*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_alu_op_utilization_group",
406*eb4f8d77SIan Rogers        "MetricName": "tma_port_6",
407*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
408*eb4f8d77SIan Rogers    },
409*eb4f8d77SIan Rogers    {
410*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations Sample with: UOPS_DISPATCHED.PORT_2_3",
411*eb4f8d77SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_2 + UOPS_DISPATCHED_PORT.PORT_3 + UOPS_DISPATCHED_PORT.PORT_7 - UOPS_DISPATCHED_PORT.PORT_4) / (2 * CORE_CLKS)",
412*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL5;tma_ports_utilized_3m_group",
413*eb4f8d77SIan Rogers        "MetricName": "tma_load_op_utilization",
414*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
415*eb4f8d77SIan Rogers    },
416*eb4f8d77SIan Rogers    {
417*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads) Sample with: UOPS_DISPATCHED_PORT.PORT_2",
418*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_2 / CORE_CLKS",
419*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_load_op_utilization_group",
420*eb4f8d77SIan Rogers        "MetricName": "tma_port_2",
421*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
422*eb4f8d77SIan Rogers    },
423*eb4f8d77SIan Rogers    {
424*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads) Sample with: UOPS_DISPATCHED_PORT.PORT_3",
425*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_3 / CORE_CLKS",
426*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_load_op_utilization_group",
427*eb4f8d77SIan Rogers        "MetricName": "tma_port_3",
428*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
429*eb4f8d77SIan Rogers    },
430*eb4f8d77SIan Rogers    {
431*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
432*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_4 / CORE_CLKS",
433*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL5;tma_ports_utilized_3m_group",
434*eb4f8d77SIan Rogers        "MetricName": "tma_store_op_utilization",
435*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
436*eb4f8d77SIan Rogers    },
437*eb4f8d77SIan Rogers    {
438*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data) Sample with: UOPS_DISPATCHED_PORT.PORT_4",
439*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_4 / CORE_CLKS",
440*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_store_op_utilization_group",
441*eb4f8d77SIan Rogers        "MetricName": "tma_port_4",
442*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
443*eb4f8d77SIan Rogers    },
444*eb4f8d77SIan Rogers    {
445*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address) Sample with: UOPS_DISPATCHED_PORT.PORT_7",
446*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_7 / CORE_CLKS",
447*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL6;tma_store_op_utilization_group",
448*eb4f8d77SIan Rogers        "MetricName": "tma_port_7",
449*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
450fd550098SAndi Kleen    },
451fd550098SAndi Kleen    {
452fd550098SAndi Kleen        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
453*eb4f8d77SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / SLOTS",
454*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL1;tma_L1_group",
455*eb4f8d77SIan Rogers        "MetricName": "tma_retiring",
456*eb4f8d77SIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided.  Sample with: UOPS_RETIRED.RETIRE_SLOTS",
457*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
458fd550098SAndi Kleen    },
459fd550098SAndi Kleen    {
460*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
461*eb4f8d77SIan Rogers        "MetricExpr": "tma_retiring - tma_heavy_operations",
462*eb4f8d77SIan Rogers        "MetricGroup": "Retire;TopdownL2;tma_L2_group;tma_retiring_group",
463*eb4f8d77SIan Rogers        "MetricName": "tma_light_operations",
464*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UPI metric) ratio of 1 or less should be expected for decently optimized software running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. Sample with: INST_RETIRED.PREC_DIST",
465*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
466*eb4f8d77SIan Rogers    },
467*eb4f8d77SIan Rogers    {
468*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
469*eb4f8d77SIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
470*eb4f8d77SIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_light_operations_group",
471*eb4f8d77SIan Rogers        "MetricName": "tma_fp_arith",
472*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
473*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
474*eb4f8d77SIan Rogers    },
475*eb4f8d77SIan Rogers    {
476*eb4f8d77SIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
477*eb4f8d77SIan Rogers        "MetricExpr": "INST_RETIRED.X87 * UPI / UOPS_RETIRED.RETIRE_SLOTS",
478*eb4f8d77SIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_fp_arith_group",
479*eb4f8d77SIan Rogers        "MetricName": "tma_x87_use",
480*eb4f8d77SIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
481*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
482*eb4f8d77SIan Rogers    },
483*eb4f8d77SIan Rogers    {
484*eb4f8d77SIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
485*eb4f8d77SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) / UOPS_RETIRED.RETIRE_SLOTS",
486*eb4f8d77SIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_fp_arith_group",
487*eb4f8d77SIan Rogers        "MetricName": "tma_fp_scalar",
488*eb4f8d77SIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting.",
489*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
490*eb4f8d77SIan Rogers    },
491*eb4f8d77SIan Rogers    {
492*eb4f8d77SIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
493*eb4f8d77SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
494*eb4f8d77SIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_fp_arith_group",
495*eb4f8d77SIan Rogers        "MetricName": "tma_fp_vector",
496*eb4f8d77SIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting.",
497*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
498*eb4f8d77SIan Rogers    },
499*eb4f8d77SIan Rogers    {
500*eb4f8d77SIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
501*eb4f8d77SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
502*eb4f8d77SIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_fp_vector_group",
503*eb4f8d77SIan Rogers        "MetricName": "tma_fp_vector_128b",
504*eb4f8d77SIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting.",
505*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
506*eb4f8d77SIan Rogers    },
507*eb4f8d77SIan Rogers    {
508*eb4f8d77SIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
509*eb4f8d77SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
510*eb4f8d77SIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_fp_vector_group",
511*eb4f8d77SIan Rogers        "MetricName": "tma_fp_vector_256b",
512*eb4f8d77SIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting.",
513*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
514*eb4f8d77SIan Rogers    },
515*eb4f8d77SIan Rogers    {
516*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or microcoded sequences",
517*eb4f8d77SIan Rogers        "MetricExpr": "tma_microcode_sequencer",
518*eb4f8d77SIan Rogers        "MetricGroup": "Retire;TopdownL2;tma_L2_group;tma_retiring_group",
519*eb4f8d77SIan Rogers        "MetricName": "tma_heavy_operations",
520*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or microcoded sequences. This highly-correlates with the uop length of these instructions/sequences.",
521*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
522*eb4f8d77SIan Rogers    },
523*eb4f8d77SIan Rogers    {
524*eb4f8d77SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
525*eb4f8d77SIan Rogers        "MetricExpr": "(UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY) * IDQ.MS_UOPS / SLOTS",
526*eb4f8d77SIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_heavy_operations_group",
527*eb4f8d77SIan Rogers        "MetricName": "tma_microcode_sequencer",
528*eb4f8d77SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS",
529*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
530*eb4f8d77SIan Rogers    },
531*eb4f8d77SIan Rogers    {
532*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
533*eb4f8d77SIan Rogers        "MetricExpr": "100 * OTHER_ASSISTS.ANY_WB_ASSIST / SLOTS",
534*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_microcode_sequencer_group",
535*eb4f8d77SIan Rogers        "MetricName": "tma_assists",
536*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: OTHER_ASSISTS.ANY",
537*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
538*eb4f8d77SIan Rogers    },
539*eb4f8d77SIan Rogers    {
540*eb4f8d77SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
541*eb4f8d77SIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
542*eb4f8d77SIan Rogers        "MetricGroup": "TopdownL4;tma_microcode_sequencer_group",
543*eb4f8d77SIan Rogers        "MetricName": "tma_cisc",
544*eb4f8d77SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
545*eb4f8d77SIan Rogers        "ScaleUnit": "100%"
546fd550098SAndi Kleen    },
547fd550098SAndi Kleen    {
54861ec07f5SHaiyan Song        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
549*eb4f8d77SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / CLKS",
55010e8d85fSIan Rogers        "MetricGroup": "Ret;Summary",
551cf979623SAndi Kleen        "MetricName": "IPC"
552cf979623SAndi Kleen    },
553cf979623SAndi Kleen    {
554fd550098SAndi Kleen        "BriefDescription": "Uops Per Instruction",
55561ec07f5SHaiyan Song        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / INST_RETIRED.ANY",
55610e8d85fSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
557cf979623SAndi Kleen        "MetricName": "UPI"
558cf979623SAndi Kleen    },
559cf979623SAndi Kleen    {
560fd550098SAndi Kleen        "BriefDescription": "Instruction per taken branch",
56110e8d85fSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / BR_INST_RETIRED.NEAR_TAKEN",
56210e8d85fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
56310e8d85fSIan Rogers        "MetricName": "UpTB"
564cf979623SAndi Kleen    },
565cf979623SAndi Kleen    {
56661ec07f5SHaiyan Song        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
567*eb4f8d77SIan Rogers        "MetricExpr": "1 / IPC",
568*eb4f8d77SIan Rogers        "MetricGroup": "Mem;Pipeline",
569cf979623SAndi Kleen        "MetricName": "CPI"
570cf979623SAndi Kleen    },
571cf979623SAndi Kleen    {
57261ec07f5SHaiyan Song        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
573cf979623SAndi Kleen        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
57410e8d85fSIan Rogers        "MetricGroup": "Pipeline",
575cf979623SAndi Kleen        "MetricName": "CLKS"
576cf979623SAndi Kleen    },
577cf979623SAndi Kleen    {
57810e8d85fSIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
579*eb4f8d77SIan Rogers        "MetricExpr": "4 * CORE_CLKS",
580*eb4f8d77SIan Rogers        "MetricGroup": "tma_L1_group",
581cf979623SAndi Kleen        "MetricName": "SLOTS"
582cf979623SAndi Kleen    },
583cf979623SAndi Kleen    {
58410e8d85fSIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
58510e8d85fSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
58610e8d85fSIan Rogers        "MetricGroup": "Cor;Pipeline",
58710e8d85fSIan Rogers        "MetricName": "Execute_per_Issue",
58810e8d85fSIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
589fd550098SAndi Kleen    },
590fd550098SAndi Kleen    {
59110e8d85fSIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
592*eb4f8d77SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / CORE_CLKS",
593*eb4f8d77SIan Rogers        "MetricGroup": "Ret;SMT;tma_L1_group",
594cf979623SAndi Kleen        "MetricName": "CoreIPC"
595cf979623SAndi Kleen    },
596cf979623SAndi Kleen    {
597fd550098SAndi Kleen        "BriefDescription": "Floating Point Operations Per Cycle",
598*eb4f8d77SIan Rogers        "MetricExpr": "(1 * (FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / CORE_CLKS",
599*eb4f8d77SIan Rogers        "MetricGroup": "Flops;Ret",
600fd550098SAndi Kleen        "MetricName": "FLOPc"
601fd550098SAndi Kleen    },
602fd550098SAndi Kleen    {
6031775634eSIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
604*eb4f8d77SIan Rogers        "MetricExpr": "((FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) + (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)) / (2 * CORE_CLKS)",
60510e8d85fSIan Rogers        "MetricGroup": "Cor;Flops;HPC",
60610e8d85fSIan Rogers        "MetricName": "FP_Arith_Utilization",
6071775634eSIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
60810e8d85fSIan Rogers    },
60910e8d85fSIan Rogers    {
6101775634eSIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per-core",
61161ec07f5SHaiyan Song        "MetricExpr": "UOPS_EXECUTED.THREAD / ((cpu@UOPS_EXECUTED.CORE\\,cmask\\=1@ / 2) if #SMT_on else UOPS_EXECUTED.CYCLES_GE_1_UOP_EXEC)",
61210e8d85fSIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
613cf979623SAndi Kleen        "MetricName": "ILP"
614cf979623SAndi Kleen    },
615cf979623SAndi Kleen    {
61661ec07f5SHaiyan Song        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
617*eb4f8d77SIan Rogers        "MetricExpr": "((CPU_CLK_UNHALTED.THREAD / 2) * (1 + CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_XCLK)) if #core_wide < 1 else (CPU_CLK_UNHALTED.THREAD_ANY / 2) if #SMT_on else CLKS",
618cf979623SAndi Kleen        "MetricGroup": "SMT",
619cf979623SAndi Kleen        "MetricName": "CORE_CLKS"
620cf979623SAndi Kleen    },
621cf979623SAndi Kleen    {
62210e8d85fSIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
62310e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_LOADS",
62410e8d85fSIan Rogers        "MetricGroup": "InsType",
62510e8d85fSIan Rogers        "MetricName": "IpLoad"
62610e8d85fSIan Rogers    },
62710e8d85fSIan Rogers    {
62810e8d85fSIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
62910e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_STORES",
63010e8d85fSIan Rogers        "MetricGroup": "InsType",
63110e8d85fSIan Rogers        "MetricName": "IpStore"
63210e8d85fSIan Rogers    },
63310e8d85fSIan Rogers    {
63410e8d85fSIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
63510e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
63610e8d85fSIan Rogers        "MetricGroup": "Branches;Fed;InsType",
63710e8d85fSIan Rogers        "MetricName": "IpBranch"
63810e8d85fSIan Rogers    },
63910e8d85fSIan Rogers    {
64010e8d85fSIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
64110e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
64210e8d85fSIan Rogers        "MetricGroup": "Branches;Fed;PGO",
64310e8d85fSIan Rogers        "MetricName": "IpCall"
64410e8d85fSIan Rogers    },
64510e8d85fSIan Rogers    {
64610e8d85fSIan Rogers        "BriefDescription": "Instruction per taken branch",
64710e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
64810e8d85fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO",
64910e8d85fSIan Rogers        "MetricName": "IpTB"
65010e8d85fSIan Rogers    },
65110e8d85fSIan Rogers    {
65210e8d85fSIan Rogers        "BriefDescription": "Branch instructions per taken branch. ",
65310e8d85fSIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
65410e8d85fSIan Rogers        "MetricGroup": "Branches;Fed;PGO",
65510e8d85fSIan Rogers        "MetricName": "BpTkBranch"
65610e8d85fSIan Rogers    },
65710e8d85fSIan Rogers    {
65810e8d85fSIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
65910e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (1 * (FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
66010e8d85fSIan Rogers        "MetricGroup": "Flops;InsType",
66110e8d85fSIan Rogers        "MetricName": "IpFLOP"
66210e8d85fSIan Rogers    },
66310e8d85fSIan Rogers    {
66410e8d85fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
66510e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / ((FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) + (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE))",
66610e8d85fSIan Rogers        "MetricGroup": "Flops;InsType",
66710e8d85fSIan Rogers        "MetricName": "IpArith",
66810e8d85fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). May undercount due to FMA double counting. Approximated prior to BDW."
66910e8d85fSIan Rogers    },
67010e8d85fSIan Rogers    {
67110e8d85fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
67210e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
67310e8d85fSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
67410e8d85fSIan Rogers        "MetricName": "IpArith_Scalar_SP",
67510e8d85fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
67610e8d85fSIan Rogers    },
67710e8d85fSIan Rogers    {
67810e8d85fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
67910e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
68010e8d85fSIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
68110e8d85fSIan Rogers        "MetricName": "IpArith_Scalar_DP",
68210e8d85fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
68310e8d85fSIan Rogers    },
68410e8d85fSIan Rogers    {
68510e8d85fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
68610e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
68710e8d85fSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
68810e8d85fSIan Rogers        "MetricName": "IpArith_AVX128",
68910e8d85fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
69010e8d85fSIan Rogers    },
69110e8d85fSIan Rogers    {
69210e8d85fSIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
69310e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
69410e8d85fSIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
69510e8d85fSIan Rogers        "MetricName": "IpArith_AVX256",
69610e8d85fSIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). May undercount due to FMA double counting."
69710e8d85fSIan Rogers    },
69810e8d85fSIan Rogers    {
699*eb4f8d77SIan Rogers        "BriefDescription": "Total number of retired Instructions Sample with: INST_RETIRED.PREC_DIST",
70010e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
701*eb4f8d77SIan Rogers        "MetricGroup": "Summary;tma_L1_group",
70210e8d85fSIan Rogers        "MetricName": "Instructions"
70310e8d85fSIan Rogers    },
70410e8d85fSIan Rogers    {
7051775634eSIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
7061775634eSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / cpu@UOPS_RETIRED.RETIRE_SLOTS\\,cmask\\=1@",
7071775634eSIan Rogers        "MetricGroup": "Pipeline;Ret",
7081775634eSIan Rogers        "MetricName": "Retire"
7091775634eSIan Rogers    },
7101775634eSIan Rogers    {
7111775634eSIan Rogers        "BriefDescription": "",
7121775634eSIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
7131775634eSIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
7141775634eSIan Rogers        "MetricName": "Execute"
7151775634eSIan Rogers    },
7161775634eSIan Rogers    {
71710e8d85fSIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
71810e8d85fSIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / ((IDQ.DSB_UOPS + LSD.UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS))",
71910e8d85fSIan Rogers        "MetricGroup": "DSB;Fed;FetchBW",
72010e8d85fSIan Rogers        "MetricName": "DSB_Coverage"
72110e8d85fSIan Rogers    },
72210e8d85fSIan Rogers    {
7231775634eSIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
7241775634eSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
7251775634eSIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
7261775634eSIan Rogers        "MetricName": "IpMispredict"
7271775634eSIan Rogers    },
7281775634eSIan Rogers    {
7291775634eSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
730*eb4f8d77SIan Rogers        "MetricExpr": " (tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) * SLOTS / BR_MISP_RETIRED.ALL_BRANCHES",
7311775634eSIan Rogers        "MetricGroup": "Bad;BrMispredicts",
7321775634eSIan Rogers        "MetricName": "Branch_Misprediction_Cost"
7331775634eSIan Rogers    },
7341775634eSIan Rogers    {
7351775634eSIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
73661ec07f5SHaiyan Song        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_UOPS_RETIRED.L1_MISS + mem_load_uops_retired.hit_lfb)",
73710e8d85fSIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
7381775634eSIan Rogers        "MetricName": "Load_Miss_Real_Latency"
739cf979623SAndi Kleen    },
740cf979623SAndi Kleen    {
74161ec07f5SHaiyan Song        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)",
742fd550098SAndi Kleen        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
743*eb4f8d77SIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
744cf979623SAndi Kleen        "MetricName": "MLP"
745cf979623SAndi Kleen    },
746cf979623SAndi Kleen    {
747fd550098SAndi Kleen        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
74861ec07f5SHaiyan Song        "MetricExpr": "1000 * MEM_LOAD_UOPS_RETIRED.L1_MISS / INST_RETIRED.ANY",
749*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem",
750fd550098SAndi Kleen        "MetricName": "L1MPKI"
751fd550098SAndi Kleen    },
752fd550098SAndi Kleen    {
753fd550098SAndi Kleen        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
75461ec07f5SHaiyan Song        "MetricExpr": "1000 * MEM_LOAD_UOPS_RETIRED.L2_MISS / INST_RETIRED.ANY",
755*eb4f8d77SIan Rogers        "MetricGroup": "Backend;CacheMisses;Mem",
756fd550098SAndi Kleen        "MetricName": "L2MPKI"
757fd550098SAndi Kleen    },
758fd550098SAndi Kleen    {
7591775634eSIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
76061ec07f5SHaiyan Song        "MetricExpr": "1000 * L2_RQSTS.MISS / INST_RETIRED.ANY",
761*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem;Offcore",
762fd550098SAndi Kleen        "MetricName": "L2MPKI_All"
763fd550098SAndi Kleen    },
764fd550098SAndi Kleen    {
7651775634eSIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
76610e8d85fSIan Rogers        "MetricExpr": "1000 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
767*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem",
76810e8d85fSIan Rogers        "MetricName": "L2MPKI_Load"
76910e8d85fSIan Rogers    },
77010e8d85fSIan Rogers    {
771fd550098SAndi Kleen        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
77261ec07f5SHaiyan Song        "MetricExpr": "1000 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
773*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem",
774fd550098SAndi Kleen        "MetricName": "L2HPKI_All"
775fd550098SAndi Kleen    },
776fd550098SAndi Kleen    {
77710e8d85fSIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
77810e8d85fSIan Rogers        "MetricExpr": "1000 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
779*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem",
78010e8d85fSIan Rogers        "MetricName": "L2HPKI_Load"
78110e8d85fSIan Rogers    },
78210e8d85fSIan Rogers    {
783fd550098SAndi Kleen        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
78461ec07f5SHaiyan Song        "MetricExpr": "1000 * MEM_LOAD_UOPS_RETIRED.L3_MISS / INST_RETIRED.ANY",
785*eb4f8d77SIan Rogers        "MetricGroup": "CacheMisses;Mem",
786fd550098SAndi Kleen        "MetricName": "L3MPKI"
787fd550098SAndi Kleen    },
788fd550098SAndi Kleen    {
78910e8d85fSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
79010e8d85fSIan Rogers        "MetricConstraint": "NO_NMI_WATCHDOG",
791*eb4f8d77SIan Rogers        "MetricExpr": "(cpu@ITLB_MISSES.WALK_DURATION\\,cmask\\=1@ + cpu@DTLB_LOAD_MISSES.WALK_DURATION\\,cmask\\=1@ + cpu@DTLB_STORE_MISSES.WALK_DURATION\\,cmask\\=1@ + 7 * (DTLB_STORE_MISSES.WALK_COMPLETED + DTLB_LOAD_MISSES.WALK_COMPLETED + ITLB_MISSES.WALK_COMPLETED)) / CORE_CLKS",
79210e8d85fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
79310e8d85fSIan Rogers        "MetricName": "Page_Walks_Utilization"
79410e8d85fSIan Rogers    },
79510e8d85fSIan Rogers    {
7961775634eSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
7971775634eSIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1000000000 / duration_time",
7981775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
7991775634eSIan Rogers        "MetricName": "L1D_Cache_Fill_BW"
8001775634eSIan Rogers    },
8011775634eSIan Rogers    {
8021775634eSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
8031775634eSIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1000000000 / duration_time",
8041775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
8051775634eSIan Rogers        "MetricName": "L2_Cache_Fill_BW"
8061775634eSIan Rogers    },
8071775634eSIan Rogers    {
8081775634eSIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
8091775634eSIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1000000000 / duration_time",
8101775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
8111775634eSIan Rogers        "MetricName": "L3_Cache_Fill_BW"
8121775634eSIan Rogers    },
8131775634eSIan Rogers    {
8141775634eSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
815*eb4f8d77SIan Rogers        "MetricExpr": "L1D_Cache_Fill_BW",
8161775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
8171775634eSIan Rogers        "MetricName": "L1D_Cache_Fill_BW_1T"
8181775634eSIan Rogers    },
8191775634eSIan Rogers    {
8201775634eSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
821*eb4f8d77SIan Rogers        "MetricExpr": "L2_Cache_Fill_BW",
8221775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
8231775634eSIan Rogers        "MetricName": "L2_Cache_Fill_BW_1T"
8241775634eSIan Rogers    },
8251775634eSIan Rogers    {
8261775634eSIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
827*eb4f8d77SIan Rogers        "MetricExpr": "L3_Cache_Fill_BW",
8281775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW",
8291775634eSIan Rogers        "MetricName": "L3_Cache_Fill_BW_1T"
8301775634eSIan Rogers    },
8311775634eSIan Rogers    {
8321775634eSIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
8331775634eSIan Rogers        "MetricExpr": "0",
8341775634eSIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
8351775634eSIan Rogers        "MetricName": "L3_Cache_Access_BW_1T"
8361775634eSIan Rogers    },
8371775634eSIan Rogers    {
838fd550098SAndi Kleen        "BriefDescription": "Average CPU Utilization",
83961ec07f5SHaiyan Song        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / msr@tsc@",
84010e8d85fSIan Rogers        "MetricGroup": "HPC;Summary",
841cf979623SAndi Kleen        "MetricName": "CPU_Utilization"
842cf979623SAndi Kleen    },
843cf979623SAndi Kleen    {
84410e8d85fSIan Rogers        "BriefDescription": "Measured Average Frequency for unhalted processors [GHz]",
845*eb4f8d77SIan Rogers        "MetricExpr": "Turbo_Utilization * msr@tsc@ / 1000000000 / duration_time",
846*eb4f8d77SIan Rogers        "MetricGroup": "Power;Summary",
84710e8d85fSIan Rogers        "MetricName": "Average_Frequency"
84810e8d85fSIan Rogers    },
84910e8d85fSIan Rogers    {
850cf979623SAndi Kleen        "BriefDescription": "Giga Floating Point Operations Per Second",
85110e8d85fSIan Rogers        "MetricExpr": "((1 * (FP_ARITH_INST_RETIRED.SCALAR_SINGLE + FP_ARITH_INST_RETIRED.SCALAR_DOUBLE) + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * (FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE + FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE) + 8 * FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / 1000000000) / duration_time",
85210e8d85fSIan Rogers        "MetricGroup": "Cor;Flops;HPC",
8531775634eSIan Rogers        "MetricName": "GFLOPs",
8541775634eSIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width and AMX engine."
855cf979623SAndi Kleen    },
856cf979623SAndi Kleen    {
857fd550098SAndi Kleen        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
858*eb4f8d77SIan Rogers        "MetricExpr": "CLKS / CPU_CLK_UNHALTED.REF_TSC",
859cf979623SAndi Kleen        "MetricGroup": "Power",
860cf979623SAndi Kleen        "MetricName": "Turbo_Utilization"
861cf979623SAndi Kleen    },
862cf979623SAndi Kleen    {
86361ec07f5SHaiyan Song        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
86410e8d85fSIan Rogers        "MetricExpr": "1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / (CPU_CLK_UNHALTED.REF_XCLK_ANY / 2) if #SMT_on else 0",
86510e8d85fSIan Rogers        "MetricGroup": "SMT",
866cf979623SAndi Kleen        "MetricName": "SMT_2T_Utilization"
867cf979623SAndi Kleen    },
868cf979623SAndi Kleen    {
86910e8d85fSIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
87010e8d85fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
87110e8d85fSIan Rogers        "MetricGroup": "OS",
872cf979623SAndi Kleen        "MetricName": "Kernel_Utilization"
873cf979623SAndi Kleen    },
874cf979623SAndi Kleen    {
87510e8d85fSIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
87610e8d85fSIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
87710e8d85fSIan Rogers        "MetricGroup": "OS",
87810e8d85fSIan Rogers        "MetricName": "Kernel_CPI"
87910e8d85fSIan Rogers    },
88010e8d85fSIan Rogers    {
881fd550098SAndi Kleen        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
88261ec07f5SHaiyan Song        "MetricExpr": "64 * (arb@event\\=0x81\\,umask\\=0x1@ + arb@event\\=0x84\\,umask\\=0x1@) / 1000000 / duration_time / 1000",
88310e8d85fSIan Rogers        "MetricGroup": "HPC;Mem;MemoryBW;SoC",
884fd550098SAndi Kleen        "MetricName": "DRAM_BW_Use"
885fd550098SAndi Kleen    },
886fd550098SAndi Kleen    {
88710e8d85fSIan Rogers        "BriefDescription": "Average latency of all requests to external memory (in Uncore cycles)",
88810e8d85fSIan Rogers        "MetricExpr": "UNC_ARB_TRK_OCCUPANCY.ALL / arb@event\\=0x81\\,umask\\=0x1@",
88910e8d85fSIan Rogers        "MetricGroup": "Mem;SoC",
89010e8d85fSIan Rogers        "MetricName": "MEM_Request_Latency"
89110e8d85fSIan Rogers    },
89210e8d85fSIan Rogers    {
89310e8d85fSIan Rogers        "BriefDescription": "Average number of parallel requests to external memory. Accounts for all requests",
89410e8d85fSIan Rogers        "MetricExpr": "UNC_ARB_TRK_OCCUPANCY.ALL / arb@event\\=0x81\\,umask\\=0x1@",
89510e8d85fSIan Rogers        "MetricGroup": "Mem;SoC",
89610e8d85fSIan Rogers        "MetricName": "MEM_Parallel_Requests"
89710e8d85fSIan Rogers    },
89810e8d85fSIan Rogers    {
89910e8d85fSIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
90010e8d85fSIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
90110e8d85fSIan Rogers        "MetricGroup": "Branches;OS",
90210e8d85fSIan Rogers        "MetricName": "IpFarBranch"
90310e8d85fSIan Rogers    },
90410e8d85fSIan Rogers    {
90561ec07f5SHaiyan Song        "BriefDescription": "C3 residency percent per core",
906cf979623SAndi Kleen        "MetricExpr": "(cstate_core@c3\\-residency@ / msr@tsc@) * 100",
907cf979623SAndi Kleen        "MetricGroup": "Power",
908cf979623SAndi Kleen        "MetricName": "C3_Core_Residency"
909cf979623SAndi Kleen    },
910cf979623SAndi Kleen    {
91161ec07f5SHaiyan Song        "BriefDescription": "C6 residency percent per core",
912cf979623SAndi Kleen        "MetricExpr": "(cstate_core@c6\\-residency@ / msr@tsc@) * 100",
913cf979623SAndi Kleen        "MetricGroup": "Power",
914cf979623SAndi Kleen        "MetricName": "C6_Core_Residency"
915cf979623SAndi Kleen    },
916cf979623SAndi Kleen    {
91761ec07f5SHaiyan Song        "BriefDescription": "C7 residency percent per core",
918cf979623SAndi Kleen        "MetricExpr": "(cstate_core@c7\\-residency@ / msr@tsc@) * 100",
919cf979623SAndi Kleen        "MetricGroup": "Power",
920cf979623SAndi Kleen        "MetricName": "C7_Core_Residency"
921cf979623SAndi Kleen    },
922cf979623SAndi Kleen    {
92361ec07f5SHaiyan Song        "BriefDescription": "C2 residency percent per package",
924cf979623SAndi Kleen        "MetricExpr": "(cstate_pkg@c2\\-residency@ / msr@tsc@) * 100",
925cf979623SAndi Kleen        "MetricGroup": "Power",
926cf979623SAndi Kleen        "MetricName": "C2_Pkg_Residency"
927cf979623SAndi Kleen    },
928cf979623SAndi Kleen    {
92961ec07f5SHaiyan Song        "BriefDescription": "C3 residency percent per package",
930cf979623SAndi Kleen        "MetricExpr": "(cstate_pkg@c3\\-residency@ / msr@tsc@) * 100",
931cf979623SAndi Kleen        "MetricGroup": "Power",
932cf979623SAndi Kleen        "MetricName": "C3_Pkg_Residency"
933cf979623SAndi Kleen    },
934cf979623SAndi Kleen    {
93561ec07f5SHaiyan Song        "BriefDescription": "C6 residency percent per package",
936cf979623SAndi Kleen        "MetricExpr": "(cstate_pkg@c6\\-residency@ / msr@tsc@) * 100",
937cf979623SAndi Kleen        "MetricGroup": "Power",
938cf979623SAndi Kleen        "MetricName": "C6_Pkg_Residency"
939cf979623SAndi Kleen    },
940cf979623SAndi Kleen    {
94161ec07f5SHaiyan Song        "BriefDescription": "C7 residency percent per package",
942cf979623SAndi Kleen        "MetricExpr": "(cstate_pkg@c7\\-residency@ / msr@tsc@) * 100",
943cf979623SAndi Kleen        "MetricGroup": "Power",
944cf979623SAndi Kleen        "MetricName": "C7_Pkg_Residency"
945cf979623SAndi Kleen    }
946cf979623SAndi Kleen]
947