1 // SPDX-License-Identifier: GPL-2.0
2 /*
3  * Samsung CSIS MIPI CSI-2 receiver driver.
4  *
5  * The Samsung CSIS IP is a MIPI CSI-2 receiver found in various NXP i.MX7 and
6  * i.MX8 SoCs. The i.MX7 features version 3.3 of the IP, while i.MX8 features
7  * version 3.6.3.
8  *
9  * Copyright (C) 2019 Linaro Ltd
10  * Copyright (C) 2015-2016 Freescale Semiconductor, Inc. All Rights Reserved.
11  * Copyright (C) 2011 - 2013 Samsung Electronics Co., Ltd.
12  *
13  */
14 
15 #include <linux/clk.h>
16 #include <linux/debugfs.h>
17 #include <linux/delay.h>
18 #include <linux/errno.h>
19 #include <linux/interrupt.h>
20 #include <linux/io.h>
21 #include <linux/kernel.h>
22 #include <linux/module.h>
23 #include <linux/mutex.h>
24 #include <linux/of.h>
25 #include <linux/of_device.h>
26 #include <linux/platform_device.h>
27 #include <linux/pm_runtime.h>
28 #include <linux/regulator/consumer.h>
29 #include <linux/reset.h>
30 #include <linux/spinlock.h>
31 
32 #include <media/v4l2-common.h>
33 #include <media/v4l2-device.h>
34 #include <media/v4l2-fwnode.h>
35 #include <media/v4l2-mc.h>
36 #include <media/v4l2-subdev.h>
37 
38 #define CSIS_DRIVER_NAME			"imx-mipi-csis"
39 
40 #define CSIS_PAD_SINK				0
41 #define CSIS_PAD_SOURCE				1
42 #define CSIS_PADS_NUM				2
43 
44 #define MIPI_CSIS_DEF_PIX_WIDTH			640
45 #define MIPI_CSIS_DEF_PIX_HEIGHT		480
46 
47 /* Register map definition */
48 
49 /* CSIS version */
50 #define MIPI_CSIS_VERSION			0x00
51 #define MIPI_CSIS_VERSION_IMX7D			0x03030505
52 #define MIPI_CSIS_VERSION_IMX8MP		0x03060301
53 
54 /* CSIS common control */
55 #define MIPI_CSIS_CMN_CTRL			0x04
56 #define MIPI_CSIS_CMN_CTRL_UPDATE_SHADOW	BIT(16)
57 #define MIPI_CSIS_CMN_CTRL_INTER_MODE		BIT(10)
58 #define MIPI_CSIS_CMN_CTRL_UPDATE_SHADOW_CTRL	BIT(2)
59 #define MIPI_CSIS_CMN_CTRL_RESET		BIT(1)
60 #define MIPI_CSIS_CMN_CTRL_ENABLE		BIT(0)
61 
62 #define MIPI_CSIS_CMN_CTRL_LANE_NR_OFFSET	8
63 #define MIPI_CSIS_CMN_CTRL_LANE_NR_MASK		(3 << 8)
64 
65 /* CSIS clock control */
66 #define MIPI_CSIS_CLK_CTRL			0x08
67 #define MIPI_CSIS_CLK_CTRL_CLKGATE_TRAIL_CH3(x)	((x) << 28)
68 #define MIPI_CSIS_CLK_CTRL_CLKGATE_TRAIL_CH2(x)	((x) << 24)
69 #define MIPI_CSIS_CLK_CTRL_CLKGATE_TRAIL_CH1(x)	((x) << 20)
70 #define MIPI_CSIS_CLK_CTRL_CLKGATE_TRAIL_CH0(x)	((x) << 16)
71 #define MIPI_CSIS_CLK_CTRL_CLKGATE_EN_MSK	(0xf << 4)
72 #define MIPI_CSIS_CLK_CTRL_WCLK_SRC		BIT(0)
73 
74 /* CSIS Interrupt mask */
75 #define MIPI_CSIS_INT_MSK			0x10
76 #define MIPI_CSIS_INT_MSK_EVEN_BEFORE		BIT(31)
77 #define MIPI_CSIS_INT_MSK_EVEN_AFTER		BIT(30)
78 #define MIPI_CSIS_INT_MSK_ODD_BEFORE		BIT(29)
79 #define MIPI_CSIS_INT_MSK_ODD_AFTER		BIT(28)
80 #define MIPI_CSIS_INT_MSK_FRAME_START		BIT(24)
81 #define MIPI_CSIS_INT_MSK_FRAME_END		BIT(20)
82 #define MIPI_CSIS_INT_MSK_ERR_SOT_HS		BIT(16)
83 #define MIPI_CSIS_INT_MSK_ERR_LOST_FS		BIT(12)
84 #define MIPI_CSIS_INT_MSK_ERR_LOST_FE		BIT(8)
85 #define MIPI_CSIS_INT_MSK_ERR_OVER		BIT(4)
86 #define MIPI_CSIS_INT_MSK_ERR_WRONG_CFG		BIT(3)
87 #define MIPI_CSIS_INT_MSK_ERR_ECC		BIT(2)
88 #define MIPI_CSIS_INT_MSK_ERR_CRC		BIT(1)
89 #define MIPI_CSIS_INT_MSK_ERR_UNKNOWN		BIT(0)
90 
91 /* CSIS Interrupt source */
92 #define MIPI_CSIS_INT_SRC			0x14
93 #define MIPI_CSIS_INT_SRC_EVEN_BEFORE		BIT(31)
94 #define MIPI_CSIS_INT_SRC_EVEN_AFTER		BIT(30)
95 #define MIPI_CSIS_INT_SRC_EVEN			BIT(30)
96 #define MIPI_CSIS_INT_SRC_ODD_BEFORE		BIT(29)
97 #define MIPI_CSIS_INT_SRC_ODD_AFTER		BIT(28)
98 #define MIPI_CSIS_INT_SRC_ODD			(0x3 << 28)
99 #define MIPI_CSIS_INT_SRC_NON_IMAGE_DATA	(0xf << 28)
100 #define MIPI_CSIS_INT_SRC_FRAME_START		BIT(24)
101 #define MIPI_CSIS_INT_SRC_FRAME_END		BIT(20)
102 #define MIPI_CSIS_INT_SRC_ERR_SOT_HS		BIT(16)
103 #define MIPI_CSIS_INT_SRC_ERR_LOST_FS		BIT(12)
104 #define MIPI_CSIS_INT_SRC_ERR_LOST_FE		BIT(8)
105 #define MIPI_CSIS_INT_SRC_ERR_OVER		BIT(4)
106 #define MIPI_CSIS_INT_SRC_ERR_WRONG_CFG		BIT(3)
107 #define MIPI_CSIS_INT_SRC_ERR_ECC		BIT(2)
108 #define MIPI_CSIS_INT_SRC_ERR_CRC		BIT(1)
109 #define MIPI_CSIS_INT_SRC_ERR_UNKNOWN		BIT(0)
110 #define MIPI_CSIS_INT_SRC_ERRORS		0xfffff
111 
112 /* D-PHY status control */
113 #define MIPI_CSIS_DPHY_STATUS			0x20
114 #define MIPI_CSIS_DPHY_STATUS_ULPS_DAT		BIT(8)
115 #define MIPI_CSIS_DPHY_STATUS_STOPSTATE_DAT	BIT(4)
116 #define MIPI_CSIS_DPHY_STATUS_ULPS_CLK		BIT(1)
117 #define MIPI_CSIS_DPHY_STATUS_STOPSTATE_CLK	BIT(0)
118 
119 /* D-PHY common control */
120 #define MIPI_CSIS_DPHY_CMN_CTRL			0x24
121 #define MIPI_CSIS_DPHY_CMN_CTRL_HSSETTLE(n)	((n) << 24)
122 #define MIPI_CSIS_DPHY_CMN_CTRL_HSSETTLE_MASK	GENMASK(31, 24)
123 #define MIPI_CSIS_DPHY_CMN_CTRL_CLKSETTLE(n)	((n) << 22)
124 #define MIPI_CSIS_DPHY_CMN_CTRL_CLKSETTLE_MASK	GENMASK(23, 22)
125 #define MIPI_CSIS_DPHY_CMN_CTRL_DPDN_SWAP_CLK	BIT(6)
126 #define MIPI_CSIS_DPHY_CMN_CTRL_DPDN_SWAP_DAT	BIT(5)
127 #define MIPI_CSIS_DPHY_CMN_CTRL_ENABLE_DAT	BIT(1)
128 #define MIPI_CSIS_DPHY_CMN_CTRL_ENABLE_CLK	BIT(0)
129 #define MIPI_CSIS_DPHY_CMN_CTRL_ENABLE		(0x1f << 0)
130 
131 /* D-PHY Master and Slave Control register Low */
132 #define MIPI_CSIS_DPHY_BCTRL_L			0x30
133 #define MIPI_CSIS_DPHY_BCTRL_L_USER_DATA_PATTERN_LOW(n)		(((n) & 3U) << 30)
134 #define MIPI_CSIS_DPHY_BCTRL_L_BIAS_REF_VOLT_715MV		(0 << 28)
135 #define MIPI_CSIS_DPHY_BCTRL_L_BIAS_REF_VOLT_724MV		(1 << 28)
136 #define MIPI_CSIS_DPHY_BCTRL_L_BIAS_REF_VOLT_733MV		(2 << 28)
137 #define MIPI_CSIS_DPHY_BCTRL_L_BIAS_REF_VOLT_706MV		(3 << 28)
138 #define MIPI_CSIS_DPHY_BCTRL_L_BGR_CHOPPER_FREQ_3MHZ		(0 << 27)
139 #define MIPI_CSIS_DPHY_BCTRL_L_BGR_CHOPPER_FREQ_1_5MHZ		(1 << 27)
140 #define MIPI_CSIS_DPHY_BCTRL_L_VREG12_EXTPWR_EN_CTL		BIT(26)
141 #define MIPI_CSIS_DPHY_BCTRL_L_REG_12P_LVL_CTL_1_2V		(0 << 24)
142 #define MIPI_CSIS_DPHY_BCTRL_L_REG_12P_LVL_CTL_1_23V		(1 << 24)
143 #define MIPI_CSIS_DPHY_BCTRL_L_REG_12P_LVL_CTL_1_17V		(2 << 24)
144 #define MIPI_CSIS_DPHY_BCTRL_L_REG_12P_LVL_CTL_1_26V		(3 << 24)
145 #define MIPI_CSIS_DPHY_BCTRL_L_REG_1P2_LVL_SEL			BIT(23)
146 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_HYS_LVL_80MV		(0 << 21)
147 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_HYS_LVL_100MV		(1 << 21)
148 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_HYS_LVL_120MV		(2 << 21)
149 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_HYS_LVL_140MV		(3 << 21)
150 #define MIPI_CSIS_DPHY_BCTRL_L_VREF_SRC_SEL			BIT(20)
151 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_VREF_LVL_715MV		(0 << 18)
152 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_VREF_LVL_743MV		(1 << 18)
153 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_VREF_LVL_650MV		(2 << 18)
154 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_VREF_LVL_682MV		(3 << 18)
155 #define MIPI_CSIS_DPHY_BCTRL_L_LP_RX_PULSE_REJECT		BIT(17)
156 #define MIPI_CSIS_DPHY_BCTRL_L_MSTRCLK_LP_SLEW_RATE_DOWN_0	(0 << 15)
157 #define MIPI_CSIS_DPHY_BCTRL_L_MSTRCLK_LP_SLEW_RATE_DOWN_15P	(1 << 15)
158 #define MIPI_CSIS_DPHY_BCTRL_L_MSTRCLK_LP_SLEW_RATE_DOWN_30P	(3 << 15)
159 #define MIPI_CSIS_DPHY_BCTRL_L_MSTRCLK_LP_SLEW_RATE_UP		BIT(14)
160 #define MIPI_CSIS_DPHY_BCTRL_L_LP_CD_HYS_60MV			(0 << 13)
161 #define MIPI_CSIS_DPHY_BCTRL_L_LP_CD_HYS_70MV			(1 << 13)
162 #define MIPI_CSIS_DPHY_BCTRL_L_BGR_CHOPPER_EN			BIT(12)
163 #define MIPI_CSIS_DPHY_BCTRL_L_ERRCONTENTION_LP_EN		BIT(11)
164 #define MIPI_CSIS_DPHY_BCTRL_L_TXTRIGGER_CLK_EN			BIT(10)
165 #define MIPI_CSIS_DPHY_BCTRL_L_B_DPHYCTRL(n)			(((n) * 25 / 1000000) << 0)
166 
167 /* D-PHY Master and Slave Control register High */
168 #define MIPI_CSIS_DPHY_BCTRL_H			0x34
169 /* D-PHY Slave Control register Low */
170 #define MIPI_CSIS_DPHY_SCTRL_L			0x38
171 /* D-PHY Slave Control register High */
172 #define MIPI_CSIS_DPHY_SCTRL_H			0x3c
173 
174 /* ISP Configuration register */
175 #define MIPI_CSIS_ISP_CONFIG_CH(n)		(0x40 + (n) * 0x10)
176 #define MIPI_CSIS_ISPCFG_MEM_FULL_GAP_MSK	(0xff << 24)
177 #define MIPI_CSIS_ISPCFG_MEM_FULL_GAP(x)	((x) << 24)
178 #define MIPI_CSIS_ISPCFG_PIXEL_MODE_SINGLE	(0 << 12)
179 #define MIPI_CSIS_ISPCFG_PIXEL_MODE_DUAL	(1 << 12)
180 #define MIPI_CSIS_ISPCFG_PIXEL_MODE_QUAD	(2 << 12)	/* i.MX8M[MNP] only */
181 #define MIPI_CSIS_ISPCFG_PIXEL_MASK		(3 << 12)
182 #define MIPI_CSIS_ISPCFG_ALIGN_32BIT		BIT(11)
183 #define MIPI_CSIS_ISPCFG_FMT(fmt)		((fmt) << 2)
184 #define MIPI_CSIS_ISPCFG_FMT_MASK		(0x3f << 2)
185 
186 /* ISP Image Resolution register */
187 #define MIPI_CSIS_ISP_RESOL_CH(n)		(0x44 + (n) * 0x10)
188 #define CSIS_MAX_PIX_WIDTH			0xffff
189 #define CSIS_MAX_PIX_HEIGHT			0xffff
190 
191 /* ISP SYNC register */
192 #define MIPI_CSIS_ISP_SYNC_CH(n)		(0x48 + (n) * 0x10)
193 #define MIPI_CSIS_ISP_SYNC_HSYNC_LINTV_OFFSET	18
194 #define MIPI_CSIS_ISP_SYNC_VSYNC_SINTV_OFFSET	12
195 #define MIPI_CSIS_ISP_SYNC_VSYNC_EINTV_OFFSET	0
196 
197 /* ISP shadow registers */
198 #define MIPI_CSIS_SDW_CONFIG_CH(n)		(0x80 + (n) * 0x10)
199 #define MIPI_CSIS_SDW_RESOL_CH(n)		(0x84 + (n) * 0x10)
200 #define MIPI_CSIS_SDW_SYNC_CH(n)		(0x88 + (n) * 0x10)
201 
202 /* Debug control register */
203 #define MIPI_CSIS_DBG_CTRL			0xc0
204 #define MIPI_CSIS_DBG_INTR_MSK			0xc4
205 #define MIPI_CSIS_DBG_INTR_MSK_DT_NOT_SUPPORT	BIT(25)
206 #define MIPI_CSIS_DBG_INTR_MSK_DT_IGNORE	BIT(24)
207 #define MIPI_CSIS_DBG_INTR_MSK_ERR_FRAME_SIZE	BIT(20)
208 #define MIPI_CSIS_DBG_INTR_MSK_TRUNCATED_FRAME	BIT(16)
209 #define MIPI_CSIS_DBG_INTR_MSK_EARLY_FE		BIT(12)
210 #define MIPI_CSIS_DBG_INTR_MSK_EARLY_FS		BIT(8)
211 #define MIPI_CSIS_DBG_INTR_MSK_CAM_VSYNC_FALL	BIT(4)
212 #define MIPI_CSIS_DBG_INTR_MSK_CAM_VSYNC_RISE	BIT(0)
213 #define MIPI_CSIS_DBG_INTR_SRC			0xc8
214 #define MIPI_CSIS_DBG_INTR_SRC_DT_NOT_SUPPORT	BIT(25)
215 #define MIPI_CSIS_DBG_INTR_SRC_DT_IGNORE	BIT(24)
216 #define MIPI_CSIS_DBG_INTR_SRC_ERR_FRAME_SIZE	BIT(20)
217 #define MIPI_CSIS_DBG_INTR_SRC_TRUNCATED_FRAME	BIT(16)
218 #define MIPI_CSIS_DBG_INTR_SRC_EARLY_FE		BIT(12)
219 #define MIPI_CSIS_DBG_INTR_SRC_EARLY_FS		BIT(8)
220 #define MIPI_CSIS_DBG_INTR_SRC_CAM_VSYNC_FALL	BIT(4)
221 #define MIPI_CSIS_DBG_INTR_SRC_CAM_VSYNC_RISE	BIT(0)
222 
223 #define MIPI_CSIS_FRAME_COUNTER_CH(n)		(0x0100 + (n) * 4)
224 
225 /* Non-image packet data buffers */
226 #define MIPI_CSIS_PKTDATA_ODD			0x2000
227 #define MIPI_CSIS_PKTDATA_EVEN			0x3000
228 #define MIPI_CSIS_PKTDATA_SIZE			SZ_4K
229 
230 #define DEFAULT_SCLK_CSIS_FREQ			166000000UL
231 
232 /* MIPI CSI-2 Data Types */
233 #define MIPI_CSI2_DATA_TYPE_YUV420_8		0x18
234 #define MIPI_CSI2_DATA_TYPE_YUV420_10		0x19
235 #define MIPI_CSI2_DATA_TYPE_LE_YUV420_8		0x1a
236 #define MIPI_CSI2_DATA_TYPE_CS_YUV420_8		0x1c
237 #define MIPI_CSI2_DATA_TYPE_CS_YUV420_10	0x1d
238 #define MIPI_CSI2_DATA_TYPE_YUV422_8		0x1e
239 #define MIPI_CSI2_DATA_TYPE_YUV422_10		0x1f
240 #define MIPI_CSI2_DATA_TYPE_RGB565		0x22
241 #define MIPI_CSI2_DATA_TYPE_RGB666		0x23
242 #define MIPI_CSI2_DATA_TYPE_RGB888		0x24
243 #define MIPI_CSI2_DATA_TYPE_RAW6		0x28
244 #define MIPI_CSI2_DATA_TYPE_RAW7		0x29
245 #define MIPI_CSI2_DATA_TYPE_RAW8		0x2a
246 #define MIPI_CSI2_DATA_TYPE_RAW10		0x2b
247 #define MIPI_CSI2_DATA_TYPE_RAW12		0x2c
248 #define MIPI_CSI2_DATA_TYPE_RAW14		0x2d
249 #define MIPI_CSI2_DATA_TYPE_USER(x)		(0x30 + (x))
250 
251 struct mipi_csis_event {
252 	bool debug;
253 	u32 mask;
254 	const char * const name;
255 	unsigned int counter;
256 };
257 
258 static const struct mipi_csis_event mipi_csis_events[] = {
259 	/* Errors */
260 	{ false, MIPI_CSIS_INT_SRC_ERR_SOT_HS,		"SOT Error" },
261 	{ false, MIPI_CSIS_INT_SRC_ERR_LOST_FS,		"Lost Frame Start Error" },
262 	{ false, MIPI_CSIS_INT_SRC_ERR_LOST_FE,		"Lost Frame End Error" },
263 	{ false, MIPI_CSIS_INT_SRC_ERR_OVER,		"FIFO Overflow Error" },
264 	{ false, MIPI_CSIS_INT_SRC_ERR_WRONG_CFG,	"Wrong Configuration Error" },
265 	{ false, MIPI_CSIS_INT_SRC_ERR_ECC,		"ECC Error" },
266 	{ false, MIPI_CSIS_INT_SRC_ERR_CRC,		"CRC Error" },
267 	{ false, MIPI_CSIS_INT_SRC_ERR_UNKNOWN,		"Unknown Error" },
268 	{ true, MIPI_CSIS_DBG_INTR_SRC_DT_NOT_SUPPORT,	"Data Type Not Supported" },
269 	{ true, MIPI_CSIS_DBG_INTR_SRC_DT_IGNORE,	"Data Type Ignored" },
270 	{ true, MIPI_CSIS_DBG_INTR_SRC_ERR_FRAME_SIZE,	"Frame Size Error" },
271 	{ true, MIPI_CSIS_DBG_INTR_SRC_TRUNCATED_FRAME,	"Truncated Frame" },
272 	{ true, MIPI_CSIS_DBG_INTR_SRC_EARLY_FE,	"Early Frame End" },
273 	{ true, MIPI_CSIS_DBG_INTR_SRC_EARLY_FS,	"Early Frame Start" },
274 	/* Non-image data receive events */
275 	{ false, MIPI_CSIS_INT_SRC_EVEN_BEFORE,		"Non-image data before even frame" },
276 	{ false, MIPI_CSIS_INT_SRC_EVEN_AFTER,		"Non-image data after even frame" },
277 	{ false, MIPI_CSIS_INT_SRC_ODD_BEFORE,		"Non-image data before odd frame" },
278 	{ false, MIPI_CSIS_INT_SRC_ODD_AFTER,		"Non-image data after odd frame" },
279 	/* Frame start/end */
280 	{ false, MIPI_CSIS_INT_SRC_FRAME_START,		"Frame Start" },
281 	{ false, MIPI_CSIS_INT_SRC_FRAME_END,		"Frame End" },
282 	{ true, MIPI_CSIS_DBG_INTR_SRC_CAM_VSYNC_FALL,	"VSYNC Falling Edge" },
283 	{ true, MIPI_CSIS_DBG_INTR_SRC_CAM_VSYNC_RISE,	"VSYNC Rising Edge" },
284 };
285 
286 #define MIPI_CSIS_NUM_EVENTS ARRAY_SIZE(mipi_csis_events)
287 
288 enum mipi_csis_clk {
289 	MIPI_CSIS_CLK_PCLK,
290 	MIPI_CSIS_CLK_WRAP,
291 	MIPI_CSIS_CLK_PHY,
292 	MIPI_CSIS_CLK_AXI,
293 };
294 
295 static const char * const mipi_csis_clk_id[] = {
296 	"pclk",
297 	"wrap",
298 	"phy",
299 	"axi",
300 };
301 
302 enum mipi_csis_version {
303 	MIPI_CSIS_V3_3,
304 	MIPI_CSIS_V3_6_3,
305 };
306 
307 struct mipi_csis_info {
308 	enum mipi_csis_version version;
309 	unsigned int num_clocks;
310 };
311 
312 struct mipi_csis_device {
313 	struct device *dev;
314 	void __iomem *regs;
315 	struct clk_bulk_data *clks;
316 	struct reset_control *mrst;
317 	struct regulator *mipi_phy_regulator;
318 	const struct mipi_csis_info *info;
319 
320 	struct v4l2_subdev sd;
321 	struct media_pad pads[CSIS_PADS_NUM];
322 	struct v4l2_async_notifier notifier;
323 	struct v4l2_subdev *src_sd;
324 
325 	struct v4l2_mbus_config_mipi_csi2 bus;
326 	u32 clk_frequency;
327 	u32 hs_settle;
328 	u32 clk_settle;
329 
330 	struct mutex lock;	/* Protect csis_fmt and format_mbus */
331 	const struct csis_pix_format *csis_fmt;
332 	struct v4l2_mbus_framefmt format_mbus[CSIS_PADS_NUM];
333 
334 	spinlock_t slock;	/* Protect events */
335 	struct mipi_csis_event events[MIPI_CSIS_NUM_EVENTS];
336 	struct dentry *debugfs_root;
337 	struct {
338 		bool enable;
339 		u32 hs_settle;
340 		u32 clk_settle;
341 	} debug;
342 };
343 
344 /* -----------------------------------------------------------------------------
345  * Format helpers
346  */
347 
348 struct csis_pix_format {
349 	u32 code;
350 	u32 output;
351 	u32 data_type;
352 	u8 width;
353 };
354 
355 static const struct csis_pix_format mipi_csis_formats[] = {
356 	/* YUV formats. */
357 	{
358 		.code = MEDIA_BUS_FMT_UYVY8_1X16,
359 		.output = MEDIA_BUS_FMT_UYVY8_1X16,
360 		.data_type = MIPI_CSI2_DATA_TYPE_YUV422_8,
361 		.width = 16,
362 	},
363 	/* RGB formats. */
364 	{
365 		.code = MEDIA_BUS_FMT_RGB565_1X16,
366 		.output = MEDIA_BUS_FMT_RGB565_1X16,
367 		.data_type = MIPI_CSI2_DATA_TYPE_RGB565,
368 		.width = 16,
369 	}, {
370 		.code = MEDIA_BUS_FMT_BGR888_1X24,
371 		.output = MEDIA_BUS_FMT_RGB888_1X24,
372 		.data_type = MIPI_CSI2_DATA_TYPE_RGB888,
373 		.width = 24,
374 	},
375 	/* RAW (Bayer and greyscale) formats. */
376 	{
377 		.code = MEDIA_BUS_FMT_SBGGR8_1X8,
378 		.output = MEDIA_BUS_FMT_SBGGR8_1X8,
379 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
380 		.width = 8,
381 	}, {
382 		.code = MEDIA_BUS_FMT_SGBRG8_1X8,
383 		.output = MEDIA_BUS_FMT_SGBRG8_1X8,
384 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
385 		.width = 8,
386 	}, {
387 		.code = MEDIA_BUS_FMT_SGRBG8_1X8,
388 		.output = MEDIA_BUS_FMT_SGRBG8_1X8,
389 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
390 		.width = 8,
391 	}, {
392 		.code = MEDIA_BUS_FMT_SRGGB8_1X8,
393 		.output = MEDIA_BUS_FMT_SRGGB8_1X8,
394 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
395 		.width = 8,
396 	}, {
397 		.code = MEDIA_BUS_FMT_Y8_1X8,
398 		.output = MEDIA_BUS_FMT_Y8_1X8,
399 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
400 		.width = 8,
401 	}, {
402 		.code = MEDIA_BUS_FMT_SBGGR10_1X10,
403 		.output = MEDIA_BUS_FMT_SBGGR10_1X10,
404 		.data_type = MIPI_CSI2_DATA_TYPE_RAW10,
405 		.width = 10,
406 	}, {
407 		.code = MEDIA_BUS_FMT_SGBRG10_1X10,
408 		.output = MEDIA_BUS_FMT_SGBRG10_1X10,
409 		.data_type = MIPI_CSI2_DATA_TYPE_RAW10,
410 		.width = 10,
411 	}, {
412 		.code = MEDIA_BUS_FMT_SGRBG10_1X10,
413 		.output = MEDIA_BUS_FMT_SGRBG10_1X10,
414 		.data_type = MIPI_CSI2_DATA_TYPE_RAW10,
415 		.width = 10,
416 	}, {
417 		.code = MEDIA_BUS_FMT_SRGGB10_1X10,
418 		.output = MEDIA_BUS_FMT_SRGGB10_1X10,
419 		.data_type = MIPI_CSI2_DATA_TYPE_RAW10,
420 		.width = 10,
421 	}, {
422 		.code = MEDIA_BUS_FMT_Y10_1X10,
423 		.output = MEDIA_BUS_FMT_Y10_1X10,
424 		.data_type = MIPI_CSI2_DATA_TYPE_RAW10,
425 		.width = 10,
426 	}, {
427 		.code = MEDIA_BUS_FMT_SBGGR12_1X12,
428 		.output = MEDIA_BUS_FMT_SBGGR12_1X12,
429 		.data_type = MIPI_CSI2_DATA_TYPE_RAW12,
430 		.width = 12,
431 	}, {
432 		.code = MEDIA_BUS_FMT_SGBRG12_1X12,
433 		.output = MEDIA_BUS_FMT_SGBRG12_1X12,
434 		.data_type = MIPI_CSI2_DATA_TYPE_RAW12,
435 		.width = 12,
436 	}, {
437 		.code = MEDIA_BUS_FMT_SGRBG12_1X12,
438 		.output = MEDIA_BUS_FMT_SGRBG12_1X12,
439 		.data_type = MIPI_CSI2_DATA_TYPE_RAW12,
440 		.width = 12,
441 	}, {
442 		.code = MEDIA_BUS_FMT_SRGGB12_1X12,
443 		.output = MEDIA_BUS_FMT_SRGGB12_1X12,
444 		.data_type = MIPI_CSI2_DATA_TYPE_RAW12,
445 		.width = 12,
446 	}, {
447 		.code = MEDIA_BUS_FMT_Y12_1X12,
448 		.output = MEDIA_BUS_FMT_Y12_1X12,
449 		.data_type = MIPI_CSI2_DATA_TYPE_RAW12,
450 		.width = 12,
451 	}, {
452 		.code = MEDIA_BUS_FMT_SBGGR14_1X14,
453 		.output = MEDIA_BUS_FMT_SBGGR14_1X14,
454 		.data_type = MIPI_CSI2_DATA_TYPE_RAW14,
455 		.width = 14,
456 	}, {
457 		.code = MEDIA_BUS_FMT_SGBRG14_1X14,
458 		.output = MEDIA_BUS_FMT_SGBRG14_1X14,
459 		.data_type = MIPI_CSI2_DATA_TYPE_RAW14,
460 		.width = 14,
461 	}, {
462 		.code = MEDIA_BUS_FMT_SGRBG14_1X14,
463 		.output = MEDIA_BUS_FMT_SGRBG14_1X14,
464 		.data_type = MIPI_CSI2_DATA_TYPE_RAW14,
465 		.width = 14,
466 	}, {
467 		.code = MEDIA_BUS_FMT_SRGGB14_1X14,
468 		.output = MEDIA_BUS_FMT_SRGGB14_1X14,
469 		.data_type = MIPI_CSI2_DATA_TYPE_RAW14,
470 		.width = 14,
471 	},
472 	/* JPEG */
473 	{
474 		.code = MEDIA_BUS_FMT_JPEG_1X8,
475 		.output = MEDIA_BUS_FMT_JPEG_1X8,
476 		/*
477 		 * Map JPEG_1X8 to the RAW8 datatype.
478 		 *
479 		 * The CSI-2 specification suggests in Annex A "JPEG8 Data
480 		 * Format (informative)" to transmit JPEG data using one of the
481 		 * Data Types aimed to represent arbitrary data, such as the
482 		 * "User Defined Data Type 1" (0x30).
483 		 *
484 		 * However, when configured with a User Defined Data Type, the
485 		 * CSIS outputs data in quad pixel mode regardless of the mode
486 		 * selected in the MIPI_CSIS_ISP_CONFIG_CH register. Neither of
487 		 * the IP cores connected to the CSIS in i.MX SoCs (CSI bridge
488 		 * or ISI) support quad pixel mode, so this will never work in
489 		 * practice.
490 		 *
491 		 * Some sensors (such as the OV5640) send JPEG data using the
492 		 * RAW8 data type. This is usable and works, so map the JPEG
493 		 * format to RAW8. If the CSIS ends up being integrated in an
494 		 * SoC that can support quad pixel mode, this will have to be
495 		 * revisited.
496 		 */
497 		.data_type = MIPI_CSI2_DATA_TYPE_RAW8,
498 		.width = 8,
499 	}
500 };
501 
502 static const struct csis_pix_format *find_csis_format(u32 code)
503 {
504 	unsigned int i;
505 
506 	for (i = 0; i < ARRAY_SIZE(mipi_csis_formats); i++)
507 		if (code == mipi_csis_formats[i].code)
508 			return &mipi_csis_formats[i];
509 	return NULL;
510 }
511 
512 /* -----------------------------------------------------------------------------
513  * Hardware configuration
514  */
515 
516 static inline u32 mipi_csis_read(struct mipi_csis_device *csis, u32 reg)
517 {
518 	return readl(csis->regs + reg);
519 }
520 
521 static inline void mipi_csis_write(struct mipi_csis_device *csis, u32 reg,
522 				   u32 val)
523 {
524 	writel(val, csis->regs + reg);
525 }
526 
527 static void mipi_csis_enable_interrupts(struct mipi_csis_device *csis, bool on)
528 {
529 	mipi_csis_write(csis, MIPI_CSIS_INT_MSK, on ? 0xffffffff : 0);
530 	mipi_csis_write(csis, MIPI_CSIS_DBG_INTR_MSK, on ? 0xffffffff : 0);
531 }
532 
533 static void mipi_csis_sw_reset(struct mipi_csis_device *csis)
534 {
535 	u32 val = mipi_csis_read(csis, MIPI_CSIS_CMN_CTRL);
536 
537 	mipi_csis_write(csis, MIPI_CSIS_CMN_CTRL,
538 			val | MIPI_CSIS_CMN_CTRL_RESET);
539 	usleep_range(10, 20);
540 }
541 
542 static void mipi_csis_system_enable(struct mipi_csis_device *csis, int on)
543 {
544 	u32 val, mask;
545 
546 	val = mipi_csis_read(csis, MIPI_CSIS_CMN_CTRL);
547 	if (on)
548 		val |= MIPI_CSIS_CMN_CTRL_ENABLE;
549 	else
550 		val &= ~MIPI_CSIS_CMN_CTRL_ENABLE;
551 	mipi_csis_write(csis, MIPI_CSIS_CMN_CTRL, val);
552 
553 	val = mipi_csis_read(csis, MIPI_CSIS_DPHY_CMN_CTRL);
554 	val &= ~MIPI_CSIS_DPHY_CMN_CTRL_ENABLE;
555 	if (on) {
556 		mask = (1 << (csis->bus.num_data_lanes + 1)) - 1;
557 		val |= (mask & MIPI_CSIS_DPHY_CMN_CTRL_ENABLE);
558 	}
559 	mipi_csis_write(csis, MIPI_CSIS_DPHY_CMN_CTRL, val);
560 }
561 
562 /* Called with the csis.lock mutex held */
563 static void __mipi_csis_set_format(struct mipi_csis_device *csis,
564 				   const struct v4l2_mbus_framefmt *format,
565 				   const struct csis_pix_format *csis_fmt)
566 {
567 	u32 val;
568 
569 	/* Color format */
570 	val = mipi_csis_read(csis, MIPI_CSIS_ISP_CONFIG_CH(0));
571 	val &= ~(MIPI_CSIS_ISPCFG_ALIGN_32BIT | MIPI_CSIS_ISPCFG_FMT_MASK
572 		| MIPI_CSIS_ISPCFG_PIXEL_MASK);
573 
574 	/*
575 	 * YUV 4:2:2 can be transferred with 8 or 16 bits per clock sample
576 	 * (referred to in the documentation as single and dual pixel modes
577 	 * respectively, although the 8-bit mode transfers half a pixel per
578 	 * clock sample and the 16-bit mode one pixel). While both mode work
579 	 * when the CSIS is connected to a receiver that supports either option,
580 	 * single pixel mode requires clock rates twice as high. As all SoCs
581 	 * that integrate the CSIS can operate in 16-bit bit mode, and some do
582 	 * not support 8-bit mode (this is the case of the i.MX8MP), use dual
583 	 * pixel mode unconditionally.
584 	 *
585 	 * TODO: Verify which other formats require DUAL (or QUAD) modes.
586 	 */
587 	if (csis_fmt->data_type == MIPI_CSI2_DATA_TYPE_YUV422_8)
588 		val |= MIPI_CSIS_ISPCFG_PIXEL_MODE_DUAL;
589 
590 	val |= MIPI_CSIS_ISPCFG_FMT(csis_fmt->data_type);
591 	mipi_csis_write(csis, MIPI_CSIS_ISP_CONFIG_CH(0), val);
592 
593 	/* Pixel resolution */
594 	val = format->width | (format->height << 16);
595 	mipi_csis_write(csis, MIPI_CSIS_ISP_RESOL_CH(0), val);
596 }
597 
598 static int mipi_csis_calculate_params(struct mipi_csis_device *csis,
599 				      const struct csis_pix_format *csis_fmt)
600 {
601 	s64 link_freq;
602 	u32 lane_rate;
603 
604 	/* Calculate the line rate from the pixel rate. */
605 	link_freq = v4l2_get_link_freq(csis->src_sd->ctrl_handler,
606 				       csis_fmt->width,
607 				       csis->bus.num_data_lanes * 2);
608 	if (link_freq < 0) {
609 		dev_err(csis->dev, "Unable to obtain link frequency: %d\n",
610 			(int)link_freq);
611 		return link_freq;
612 	}
613 
614 	lane_rate = link_freq * 2;
615 
616 	if (lane_rate < 80000000 || lane_rate > 1500000000) {
617 		dev_dbg(csis->dev, "Out-of-bound lane rate %u\n", lane_rate);
618 		return -EINVAL;
619 	}
620 
621 	/*
622 	 * The HSSETTLE counter value is document in a table, but can also
623 	 * easily be calculated. Hardcode the CLKSETTLE value to 0 for now
624 	 * (which is documented as corresponding to CSI-2 v0.87 to v1.00) until
625 	 * we figure out how to compute it correctly.
626 	 */
627 	csis->hs_settle = (lane_rate - 5000000) / 45000000;
628 	csis->clk_settle = 0;
629 
630 	dev_dbg(csis->dev, "lane rate %u, Tclk_settle %u, Ths_settle %u\n",
631 		lane_rate, csis->clk_settle, csis->hs_settle);
632 
633 	if (csis->debug.hs_settle < 0xff) {
634 		dev_dbg(csis->dev, "overriding Ths_settle with %u\n",
635 			csis->debug.hs_settle);
636 		csis->hs_settle = csis->debug.hs_settle;
637 	}
638 
639 	if (csis->debug.clk_settle < 4) {
640 		dev_dbg(csis->dev, "overriding Tclk_settle with %u\n",
641 			csis->debug.clk_settle);
642 		csis->clk_settle = csis->debug.clk_settle;
643 	}
644 
645 	return 0;
646 }
647 
648 static void mipi_csis_set_params(struct mipi_csis_device *csis,
649 				 const struct v4l2_mbus_framefmt *format,
650 				 const struct csis_pix_format *csis_fmt)
651 {
652 	int lanes = csis->bus.num_data_lanes;
653 	u32 val;
654 
655 	val = mipi_csis_read(csis, MIPI_CSIS_CMN_CTRL);
656 	val &= ~MIPI_CSIS_CMN_CTRL_LANE_NR_MASK;
657 	val |= (lanes - 1) << MIPI_CSIS_CMN_CTRL_LANE_NR_OFFSET;
658 	if (csis->info->version == MIPI_CSIS_V3_3)
659 		val |= MIPI_CSIS_CMN_CTRL_INTER_MODE;
660 	mipi_csis_write(csis, MIPI_CSIS_CMN_CTRL, val);
661 
662 	__mipi_csis_set_format(csis, format, csis_fmt);
663 
664 	mipi_csis_write(csis, MIPI_CSIS_DPHY_CMN_CTRL,
665 			MIPI_CSIS_DPHY_CMN_CTRL_HSSETTLE(csis->hs_settle) |
666 			MIPI_CSIS_DPHY_CMN_CTRL_CLKSETTLE(csis->clk_settle));
667 
668 	val = (0 << MIPI_CSIS_ISP_SYNC_HSYNC_LINTV_OFFSET)
669 	    | (0 << MIPI_CSIS_ISP_SYNC_VSYNC_SINTV_OFFSET)
670 	    | (0 << MIPI_CSIS_ISP_SYNC_VSYNC_EINTV_OFFSET);
671 	mipi_csis_write(csis, MIPI_CSIS_ISP_SYNC_CH(0), val);
672 
673 	val = mipi_csis_read(csis, MIPI_CSIS_CLK_CTRL);
674 	val |= MIPI_CSIS_CLK_CTRL_WCLK_SRC;
675 	val |= MIPI_CSIS_CLK_CTRL_CLKGATE_TRAIL_CH0(15);
676 	val &= ~MIPI_CSIS_CLK_CTRL_CLKGATE_EN_MSK;
677 	mipi_csis_write(csis, MIPI_CSIS_CLK_CTRL, val);
678 
679 	mipi_csis_write(csis, MIPI_CSIS_DPHY_BCTRL_L,
680 			MIPI_CSIS_DPHY_BCTRL_L_BIAS_REF_VOLT_715MV |
681 			MIPI_CSIS_DPHY_BCTRL_L_BGR_CHOPPER_FREQ_3MHZ |
682 			MIPI_CSIS_DPHY_BCTRL_L_REG_12P_LVL_CTL_1_2V |
683 			MIPI_CSIS_DPHY_BCTRL_L_LP_RX_HYS_LVL_80MV |
684 			MIPI_CSIS_DPHY_BCTRL_L_LP_RX_VREF_LVL_715MV |
685 			MIPI_CSIS_DPHY_BCTRL_L_LP_CD_HYS_60MV |
686 			MIPI_CSIS_DPHY_BCTRL_L_B_DPHYCTRL(20000000));
687 	mipi_csis_write(csis, MIPI_CSIS_DPHY_BCTRL_H, 0);
688 
689 	/* Update the shadow register. */
690 	val = mipi_csis_read(csis, MIPI_CSIS_CMN_CTRL);
691 	mipi_csis_write(csis, MIPI_CSIS_CMN_CTRL,
692 			val | MIPI_CSIS_CMN_CTRL_UPDATE_SHADOW |
693 			MIPI_CSIS_CMN_CTRL_UPDATE_SHADOW_CTRL);
694 }
695 
696 static int mipi_csis_clk_enable(struct mipi_csis_device *csis)
697 {
698 	return clk_bulk_prepare_enable(csis->info->num_clocks, csis->clks);
699 }
700 
701 static void mipi_csis_clk_disable(struct mipi_csis_device *csis)
702 {
703 	clk_bulk_disable_unprepare(csis->info->num_clocks, csis->clks);
704 }
705 
706 static int mipi_csis_clk_get(struct mipi_csis_device *csis)
707 {
708 	unsigned int i;
709 	int ret;
710 
711 	csis->clks = devm_kcalloc(csis->dev, csis->info->num_clocks,
712 				  sizeof(*csis->clks), GFP_KERNEL);
713 
714 	if (!csis->clks)
715 		return -ENOMEM;
716 
717 	for (i = 0; i < csis->info->num_clocks; i++)
718 		csis->clks[i].id = mipi_csis_clk_id[i];
719 
720 	ret = devm_clk_bulk_get(csis->dev, csis->info->num_clocks,
721 				csis->clks);
722 	if (ret < 0)
723 		return ret;
724 
725 	/* Set clock rate */
726 	ret = clk_set_rate(csis->clks[MIPI_CSIS_CLK_WRAP].clk,
727 			   csis->clk_frequency);
728 	if (ret < 0)
729 		dev_err(csis->dev, "set rate=%d failed: %d\n",
730 			csis->clk_frequency, ret);
731 
732 	return ret;
733 }
734 
735 static void mipi_csis_start_stream(struct mipi_csis_device *csis,
736 				   const struct v4l2_mbus_framefmt *format,
737 				   const struct csis_pix_format *csis_fmt)
738 {
739 	mipi_csis_sw_reset(csis);
740 	mipi_csis_set_params(csis, format, csis_fmt);
741 	mipi_csis_system_enable(csis, true);
742 	mipi_csis_enable_interrupts(csis, true);
743 }
744 
745 static void mipi_csis_stop_stream(struct mipi_csis_device *csis)
746 {
747 	mipi_csis_enable_interrupts(csis, false);
748 	mipi_csis_system_enable(csis, false);
749 }
750 
751 static irqreturn_t mipi_csis_irq_handler(int irq, void *dev_id)
752 {
753 	struct mipi_csis_device *csis = dev_id;
754 	unsigned long flags;
755 	unsigned int i;
756 	u32 status;
757 	u32 dbg_status;
758 
759 	status = mipi_csis_read(csis, MIPI_CSIS_INT_SRC);
760 	dbg_status = mipi_csis_read(csis, MIPI_CSIS_DBG_INTR_SRC);
761 
762 	spin_lock_irqsave(&csis->slock, flags);
763 
764 	/* Update the event/error counters */
765 	if ((status & MIPI_CSIS_INT_SRC_ERRORS) || csis->debug.enable) {
766 		for (i = 0; i < MIPI_CSIS_NUM_EVENTS; i++) {
767 			struct mipi_csis_event *event = &csis->events[i];
768 
769 			if ((!event->debug && (status & event->mask)) ||
770 			    (event->debug && (dbg_status & event->mask)))
771 				event->counter++;
772 		}
773 	}
774 	spin_unlock_irqrestore(&csis->slock, flags);
775 
776 	mipi_csis_write(csis, MIPI_CSIS_INT_SRC, status);
777 	mipi_csis_write(csis, MIPI_CSIS_DBG_INTR_SRC, dbg_status);
778 
779 	return IRQ_HANDLED;
780 }
781 
782 /* -----------------------------------------------------------------------------
783  * PHY regulator and reset
784  */
785 
786 static int mipi_csis_phy_enable(struct mipi_csis_device *csis)
787 {
788 	if (csis->info->version != MIPI_CSIS_V3_3)
789 		return 0;
790 
791 	return regulator_enable(csis->mipi_phy_regulator);
792 }
793 
794 static int mipi_csis_phy_disable(struct mipi_csis_device *csis)
795 {
796 	if (csis->info->version != MIPI_CSIS_V3_3)
797 		return 0;
798 
799 	return regulator_disable(csis->mipi_phy_regulator);
800 }
801 
802 static void mipi_csis_phy_reset(struct mipi_csis_device *csis)
803 {
804 	if (csis->info->version != MIPI_CSIS_V3_3)
805 		return;
806 
807 	reset_control_assert(csis->mrst);
808 	msleep(20);
809 	reset_control_deassert(csis->mrst);
810 }
811 
812 static int mipi_csis_phy_init(struct mipi_csis_device *csis)
813 {
814 	if (csis->info->version != MIPI_CSIS_V3_3)
815 		return 0;
816 
817 	/* Get MIPI PHY reset and regulator. */
818 	csis->mrst = devm_reset_control_get_exclusive(csis->dev, NULL);
819 	if (IS_ERR(csis->mrst))
820 		return PTR_ERR(csis->mrst);
821 
822 	csis->mipi_phy_regulator = devm_regulator_get(csis->dev, "phy");
823 	if (IS_ERR(csis->mipi_phy_regulator))
824 		return PTR_ERR(csis->mipi_phy_regulator);
825 
826 	return regulator_set_voltage(csis->mipi_phy_regulator, 1000000,
827 				     1000000);
828 }
829 
830 /* -----------------------------------------------------------------------------
831  * Debug
832  */
833 
834 static void mipi_csis_clear_counters(struct mipi_csis_device *csis)
835 {
836 	unsigned long flags;
837 	unsigned int i;
838 
839 	spin_lock_irqsave(&csis->slock, flags);
840 	for (i = 0; i < MIPI_CSIS_NUM_EVENTS; i++)
841 		csis->events[i].counter = 0;
842 	spin_unlock_irqrestore(&csis->slock, flags);
843 }
844 
845 static void mipi_csis_log_counters(struct mipi_csis_device *csis, bool non_errors)
846 {
847 	unsigned int num_events = non_errors ? MIPI_CSIS_NUM_EVENTS
848 				: MIPI_CSIS_NUM_EVENTS - 8;
849 	unsigned long flags;
850 	unsigned int i;
851 
852 	spin_lock_irqsave(&csis->slock, flags);
853 
854 	for (i = 0; i < num_events; ++i) {
855 		if (csis->events[i].counter > 0 || csis->debug.enable)
856 			dev_info(csis->dev, "%s events: %d\n",
857 				 csis->events[i].name,
858 				 csis->events[i].counter);
859 	}
860 	spin_unlock_irqrestore(&csis->slock, flags);
861 }
862 
863 static int mipi_csis_dump_regs(struct mipi_csis_device *csis)
864 {
865 	static const struct {
866 		u32 offset;
867 		const char * const name;
868 	} registers[] = {
869 		{ MIPI_CSIS_CMN_CTRL, "CMN_CTRL" },
870 		{ MIPI_CSIS_CLK_CTRL, "CLK_CTRL" },
871 		{ MIPI_CSIS_INT_MSK, "INT_MSK" },
872 		{ MIPI_CSIS_DPHY_STATUS, "DPHY_STATUS" },
873 		{ MIPI_CSIS_DPHY_CMN_CTRL, "DPHY_CMN_CTRL" },
874 		{ MIPI_CSIS_DPHY_SCTRL_L, "DPHY_SCTRL_L" },
875 		{ MIPI_CSIS_DPHY_SCTRL_H, "DPHY_SCTRL_H" },
876 		{ MIPI_CSIS_ISP_CONFIG_CH(0), "ISP_CONFIG_CH0" },
877 		{ MIPI_CSIS_ISP_RESOL_CH(0), "ISP_RESOL_CH0" },
878 		{ MIPI_CSIS_SDW_CONFIG_CH(0), "SDW_CONFIG_CH0" },
879 		{ MIPI_CSIS_SDW_RESOL_CH(0), "SDW_RESOL_CH0" },
880 		{ MIPI_CSIS_DBG_CTRL, "DBG_CTRL" },
881 		{ MIPI_CSIS_FRAME_COUNTER_CH(0), "FRAME_COUNTER_CH0" },
882 	};
883 
884 	unsigned int i;
885 	u32 cfg;
886 
887 	if (!pm_runtime_get_if_in_use(csis->dev))
888 		return 0;
889 
890 	dev_info(csis->dev, "--- REGISTERS ---\n");
891 
892 	for (i = 0; i < ARRAY_SIZE(registers); i++) {
893 		cfg = mipi_csis_read(csis, registers[i].offset);
894 		dev_info(csis->dev, "%14s: 0x%08x\n", registers[i].name, cfg);
895 	}
896 
897 	pm_runtime_put(csis->dev);
898 
899 	return 0;
900 }
901 
902 static int mipi_csis_dump_regs_show(struct seq_file *m, void *private)
903 {
904 	struct mipi_csis_device *csis = m->private;
905 
906 	return mipi_csis_dump_regs(csis);
907 }
908 DEFINE_SHOW_ATTRIBUTE(mipi_csis_dump_regs);
909 
910 static void mipi_csis_debugfs_init(struct mipi_csis_device *csis)
911 {
912 	csis->debug.hs_settle = UINT_MAX;
913 	csis->debug.clk_settle = UINT_MAX;
914 
915 	csis->debugfs_root = debugfs_create_dir(dev_name(csis->dev), NULL);
916 
917 	debugfs_create_bool("debug_enable", 0600, csis->debugfs_root,
918 			    &csis->debug.enable);
919 	debugfs_create_file("dump_regs", 0600, csis->debugfs_root, csis,
920 			    &mipi_csis_dump_regs_fops);
921 	debugfs_create_u32("tclk_settle", 0600, csis->debugfs_root,
922 			   &csis->debug.clk_settle);
923 	debugfs_create_u32("ths_settle", 0600, csis->debugfs_root,
924 			   &csis->debug.hs_settle);
925 }
926 
927 static void mipi_csis_debugfs_exit(struct mipi_csis_device *csis)
928 {
929 	debugfs_remove_recursive(csis->debugfs_root);
930 }
931 
932 /* -----------------------------------------------------------------------------
933  * V4L2 subdev operations
934  */
935 
936 static struct mipi_csis_device *sd_to_mipi_csis_device(struct v4l2_subdev *sdev)
937 {
938 	return container_of(sdev, struct mipi_csis_device, sd);
939 }
940 
941 static int mipi_csis_s_stream(struct v4l2_subdev *sd, int enable)
942 {
943 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
944 	const struct v4l2_mbus_framefmt *format = &csis->format_mbus[CSIS_PAD_SINK];
945 	const struct csis_pix_format *csis_fmt = csis->csis_fmt;
946 	int ret;
947 
948 	if (!enable) {
949 		mutex_lock(&csis->lock);
950 
951 		v4l2_subdev_call(csis->src_sd, video, s_stream, 0);
952 
953 		mipi_csis_stop_stream(csis);
954 		if (csis->debug.enable)
955 			mipi_csis_log_counters(csis, true);
956 
957 		mutex_unlock(&csis->lock);
958 
959 		pm_runtime_put(csis->dev);
960 
961 		return 0;
962 	}
963 
964 	ret = mipi_csis_calculate_params(csis, csis_fmt);
965 	if (ret < 0)
966 		return ret;
967 
968 	mipi_csis_clear_counters(csis);
969 
970 	ret = pm_runtime_resume_and_get(csis->dev);
971 	if (ret < 0)
972 		return ret;
973 
974 	mutex_lock(&csis->lock);
975 
976 	mipi_csis_start_stream(csis, format, csis_fmt);
977 	ret = v4l2_subdev_call(csis->src_sd, video, s_stream, 1);
978 	if (ret < 0)
979 		goto error;
980 
981 	mipi_csis_log_counters(csis, true);
982 
983 	mutex_unlock(&csis->lock);
984 
985 	return 0;
986 
987 error:
988 	mipi_csis_stop_stream(csis);
989 	mutex_unlock(&csis->lock);
990 	pm_runtime_put(csis->dev);
991 
992 	return ret;
993 }
994 
995 static struct v4l2_mbus_framefmt *
996 mipi_csis_get_format(struct mipi_csis_device *csis,
997 		     struct v4l2_subdev_state *sd_state,
998 		     enum v4l2_subdev_format_whence which,
999 		     unsigned int pad)
1000 {
1001 	if (which == V4L2_SUBDEV_FORMAT_TRY)
1002 		return v4l2_subdev_get_try_format(&csis->sd, sd_state, pad);
1003 
1004 	return &csis->format_mbus[pad];
1005 }
1006 
1007 static int mipi_csis_init_cfg(struct v4l2_subdev *sd,
1008 			      struct v4l2_subdev_state *sd_state)
1009 {
1010 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1011 	struct v4l2_mbus_framefmt *fmt_sink;
1012 	struct v4l2_mbus_framefmt *fmt_source;
1013 	enum v4l2_subdev_format_whence which;
1014 
1015 	which = sd_state ? V4L2_SUBDEV_FORMAT_TRY : V4L2_SUBDEV_FORMAT_ACTIVE;
1016 	fmt_sink = mipi_csis_get_format(csis, sd_state, which, CSIS_PAD_SINK);
1017 
1018 	fmt_sink->code = MEDIA_BUS_FMT_UYVY8_1X16;
1019 	fmt_sink->width = MIPI_CSIS_DEF_PIX_WIDTH;
1020 	fmt_sink->height = MIPI_CSIS_DEF_PIX_HEIGHT;
1021 	fmt_sink->field = V4L2_FIELD_NONE;
1022 
1023 	fmt_sink->colorspace = V4L2_COLORSPACE_SMPTE170M;
1024 	fmt_sink->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt_sink->colorspace);
1025 	fmt_sink->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt_sink->colorspace);
1026 	fmt_sink->quantization =
1027 		V4L2_MAP_QUANTIZATION_DEFAULT(false, fmt_sink->colorspace,
1028 					      fmt_sink->ycbcr_enc);
1029 
1030 	fmt_source = mipi_csis_get_format(csis, sd_state, which,
1031 					  CSIS_PAD_SOURCE);
1032 	*fmt_source = *fmt_sink;
1033 
1034 	return 0;
1035 }
1036 
1037 static int mipi_csis_get_fmt(struct v4l2_subdev *sd,
1038 			     struct v4l2_subdev_state *sd_state,
1039 			     struct v4l2_subdev_format *sdformat)
1040 {
1041 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1042 	struct v4l2_mbus_framefmt *fmt;
1043 
1044 	fmt = mipi_csis_get_format(csis, sd_state, sdformat->which,
1045 				   sdformat->pad);
1046 
1047 	mutex_lock(&csis->lock);
1048 	sdformat->format = *fmt;
1049 	mutex_unlock(&csis->lock);
1050 
1051 	return 0;
1052 }
1053 
1054 static int mipi_csis_enum_mbus_code(struct v4l2_subdev *sd,
1055 				    struct v4l2_subdev_state *sd_state,
1056 				    struct v4l2_subdev_mbus_code_enum *code)
1057 {
1058 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1059 
1060 	/*
1061 	 * The CSIS can't transcode in any way, the source format is identical
1062 	 * to the sink format.
1063 	 */
1064 	if (code->pad == CSIS_PAD_SOURCE) {
1065 		struct v4l2_mbus_framefmt *fmt;
1066 
1067 		if (code->index > 0)
1068 			return -EINVAL;
1069 
1070 		fmt = mipi_csis_get_format(csis, sd_state, code->which,
1071 					   code->pad);
1072 		code->code = fmt->code;
1073 		return 0;
1074 	}
1075 
1076 	if (code->pad != CSIS_PAD_SINK)
1077 		return -EINVAL;
1078 
1079 	if (code->index >= ARRAY_SIZE(mipi_csis_formats))
1080 		return -EINVAL;
1081 
1082 	code->code = mipi_csis_formats[code->index].code;
1083 
1084 	return 0;
1085 }
1086 
1087 static int mipi_csis_set_fmt(struct v4l2_subdev *sd,
1088 			     struct v4l2_subdev_state *sd_state,
1089 			     struct v4l2_subdev_format *sdformat)
1090 {
1091 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1092 	struct csis_pix_format const *csis_fmt;
1093 	struct v4l2_mbus_framefmt *fmt;
1094 	unsigned int align;
1095 
1096 	/*
1097 	 * The CSIS can't transcode in any way, the source format can't be
1098 	 * modified.
1099 	 */
1100 	if (sdformat->pad == CSIS_PAD_SOURCE)
1101 		return mipi_csis_get_fmt(sd, sd_state, sdformat);
1102 
1103 	if (sdformat->pad != CSIS_PAD_SINK)
1104 		return -EINVAL;
1105 
1106 	/*
1107 	 * Validate the media bus code and clamp and align the size.
1108 	 *
1109 	 * The total number of bits per line must be a multiple of 8. We thus
1110 	 * need to align the width for formats that are not multiples of 8
1111 	 * bits.
1112 	 */
1113 	csis_fmt = find_csis_format(sdformat->format.code);
1114 	if (!csis_fmt)
1115 		csis_fmt = &mipi_csis_formats[0];
1116 
1117 	switch (csis_fmt->width % 8) {
1118 	case 0:
1119 		align = 0;
1120 		break;
1121 	case 4:
1122 		align = 1;
1123 		break;
1124 	case 2:
1125 	case 6:
1126 		align = 2;
1127 		break;
1128 	default:
1129 		/* 1, 3, 5, 7 */
1130 		align = 3;
1131 		break;
1132 	}
1133 
1134 	v4l_bound_align_image(&sdformat->format.width, 1,
1135 			      CSIS_MAX_PIX_WIDTH, align,
1136 			      &sdformat->format.height, 1,
1137 			      CSIS_MAX_PIX_HEIGHT, 0, 0);
1138 
1139 	fmt = mipi_csis_get_format(csis, sd_state, sdformat->which,
1140 				   sdformat->pad);
1141 
1142 	mutex_lock(&csis->lock);
1143 
1144 	fmt->code = csis_fmt->code;
1145 	fmt->width = sdformat->format.width;
1146 	fmt->height = sdformat->format.height;
1147 	fmt->colorspace = sdformat->format.colorspace;
1148 	fmt->quantization = sdformat->format.quantization;
1149 	fmt->xfer_func = sdformat->format.xfer_func;
1150 	fmt->ycbcr_enc = sdformat->format.ycbcr_enc;
1151 
1152 	sdformat->format = *fmt;
1153 
1154 	/* Propagate the format from sink to source. */
1155 	fmt = mipi_csis_get_format(csis, sd_state, sdformat->which,
1156 				   CSIS_PAD_SOURCE);
1157 	*fmt = sdformat->format;
1158 
1159 	/* The format on the source pad might change due to unpacking. */
1160 	fmt->code = csis_fmt->output;
1161 
1162 	/* Store the CSIS format descriptor for active formats. */
1163 	if (sdformat->which == V4L2_SUBDEV_FORMAT_ACTIVE)
1164 		csis->csis_fmt = csis_fmt;
1165 
1166 	mutex_unlock(&csis->lock);
1167 
1168 	return 0;
1169 }
1170 
1171 static int mipi_csis_get_frame_desc(struct v4l2_subdev *sd, unsigned int pad,
1172 				    struct v4l2_mbus_frame_desc *fd)
1173 {
1174 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1175 	struct v4l2_mbus_frame_desc_entry *entry = &fd->entry[0];
1176 
1177 	if (pad != CSIS_PAD_SOURCE)
1178 		return -EINVAL;
1179 
1180 	fd->type = V4L2_MBUS_FRAME_DESC_TYPE_PARALLEL;
1181 	fd->num_entries = 1;
1182 
1183 	memset(entry, 0, sizeof(*entry));
1184 
1185 	mutex_lock(&csis->lock);
1186 
1187 	entry->flags = 0;
1188 	entry->pixelcode = csis->csis_fmt->code;
1189 	entry->bus.csi2.vc = 0;
1190 	entry->bus.csi2.dt = csis->csis_fmt->data_type;
1191 
1192 	mutex_unlock(&csis->lock);
1193 
1194 	return 0;
1195 }
1196 
1197 static int mipi_csis_log_status(struct v4l2_subdev *sd)
1198 {
1199 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1200 
1201 	mipi_csis_log_counters(csis, true);
1202 	if (csis->debug.enable)
1203 		mipi_csis_dump_regs(csis);
1204 
1205 	return 0;
1206 }
1207 
1208 static const struct v4l2_subdev_core_ops mipi_csis_core_ops = {
1209 	.log_status	= mipi_csis_log_status,
1210 };
1211 
1212 static const struct v4l2_subdev_video_ops mipi_csis_video_ops = {
1213 	.s_stream	= mipi_csis_s_stream,
1214 };
1215 
1216 static const struct v4l2_subdev_pad_ops mipi_csis_pad_ops = {
1217 	.init_cfg		= mipi_csis_init_cfg,
1218 	.enum_mbus_code		= mipi_csis_enum_mbus_code,
1219 	.get_fmt		= mipi_csis_get_fmt,
1220 	.set_fmt		= mipi_csis_set_fmt,
1221 	.get_frame_desc		= mipi_csis_get_frame_desc,
1222 };
1223 
1224 static const struct v4l2_subdev_ops mipi_csis_subdev_ops = {
1225 	.core	= &mipi_csis_core_ops,
1226 	.video	= &mipi_csis_video_ops,
1227 	.pad	= &mipi_csis_pad_ops,
1228 };
1229 
1230 /* -----------------------------------------------------------------------------
1231  * Media entity operations
1232  */
1233 
1234 static int mipi_csis_link_setup(struct media_entity *entity,
1235 				const struct media_pad *local_pad,
1236 				const struct media_pad *remote_pad, u32 flags)
1237 {
1238 	struct v4l2_subdev *sd = media_entity_to_v4l2_subdev(entity);
1239 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1240 	struct v4l2_subdev *remote_sd;
1241 
1242 	dev_dbg(csis->dev, "link setup %s -> %s", remote_pad->entity->name,
1243 		local_pad->entity->name);
1244 
1245 	/* We only care about the link to the source. */
1246 	if (!(local_pad->flags & MEDIA_PAD_FL_SINK))
1247 		return 0;
1248 
1249 	remote_sd = media_entity_to_v4l2_subdev(remote_pad->entity);
1250 
1251 	if (flags & MEDIA_LNK_FL_ENABLED) {
1252 		if (csis->src_sd)
1253 			return -EBUSY;
1254 
1255 		csis->src_sd = remote_sd;
1256 	} else {
1257 		csis->src_sd = NULL;
1258 	}
1259 
1260 	return 0;
1261 }
1262 
1263 static const struct media_entity_operations mipi_csis_entity_ops = {
1264 	.link_setup	= mipi_csis_link_setup,
1265 	.link_validate	= v4l2_subdev_link_validate,
1266 	.get_fwnode_pad = v4l2_subdev_get_fwnode_pad_1_to_1,
1267 };
1268 
1269 /* -----------------------------------------------------------------------------
1270  * Async subdev notifier
1271  */
1272 
1273 static struct mipi_csis_device *
1274 mipi_notifier_to_csis_state(struct v4l2_async_notifier *n)
1275 {
1276 	return container_of(n, struct mipi_csis_device, notifier);
1277 }
1278 
1279 static int mipi_csis_notify_bound(struct v4l2_async_notifier *notifier,
1280 				  struct v4l2_subdev *sd,
1281 				  struct v4l2_async_subdev *asd)
1282 {
1283 	struct mipi_csis_device *csis = mipi_notifier_to_csis_state(notifier);
1284 	struct media_pad *sink = &csis->sd.entity.pads[CSIS_PAD_SINK];
1285 
1286 	return v4l2_create_fwnode_links_to_pad(sd, sink, 0);
1287 }
1288 
1289 static const struct v4l2_async_notifier_operations mipi_csis_notify_ops = {
1290 	.bound = mipi_csis_notify_bound,
1291 };
1292 
1293 static int mipi_csis_async_register(struct mipi_csis_device *csis)
1294 {
1295 	struct v4l2_fwnode_endpoint vep = {
1296 		.bus_type = V4L2_MBUS_CSI2_DPHY,
1297 	};
1298 	struct v4l2_async_subdev *asd;
1299 	struct fwnode_handle *ep;
1300 	unsigned int i;
1301 	int ret;
1302 
1303 	v4l2_async_nf_init(&csis->notifier);
1304 
1305 	ep = fwnode_graph_get_endpoint_by_id(dev_fwnode(csis->dev), 0, 0,
1306 					     FWNODE_GRAPH_ENDPOINT_NEXT);
1307 	if (!ep)
1308 		return -ENOTCONN;
1309 
1310 	ret = v4l2_fwnode_endpoint_parse(ep, &vep);
1311 	if (ret)
1312 		goto err_parse;
1313 
1314 	for (i = 0; i < vep.bus.mipi_csi2.num_data_lanes; ++i) {
1315 		if (vep.bus.mipi_csi2.data_lanes[i] != i + 1) {
1316 			dev_err(csis->dev,
1317 				"data lanes reordering is not supported");
1318 			ret = -EINVAL;
1319 			goto err_parse;
1320 		}
1321 	}
1322 
1323 	csis->bus = vep.bus.mipi_csi2;
1324 
1325 	dev_dbg(csis->dev, "data lanes: %d\n", csis->bus.num_data_lanes);
1326 	dev_dbg(csis->dev, "flags: 0x%08x\n", csis->bus.flags);
1327 
1328 	asd = v4l2_async_nf_add_fwnode_remote(&csis->notifier, ep,
1329 					      struct v4l2_async_subdev);
1330 	if (IS_ERR(asd)) {
1331 		ret = PTR_ERR(asd);
1332 		goto err_parse;
1333 	}
1334 
1335 	fwnode_handle_put(ep);
1336 
1337 	csis->notifier.ops = &mipi_csis_notify_ops;
1338 
1339 	ret = v4l2_async_subdev_nf_register(&csis->sd, &csis->notifier);
1340 	if (ret)
1341 		return ret;
1342 
1343 	return v4l2_async_register_subdev(&csis->sd);
1344 
1345 err_parse:
1346 	fwnode_handle_put(ep);
1347 
1348 	return ret;
1349 }
1350 
1351 /* -----------------------------------------------------------------------------
1352  * Suspend/resume
1353  */
1354 
1355 static int __maybe_unused mipi_csis_runtime_suspend(struct device *dev)
1356 {
1357 	struct v4l2_subdev *sd = dev_get_drvdata(dev);
1358 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1359 	int ret;
1360 
1361 	ret = mipi_csis_phy_disable(csis);
1362 	if (ret)
1363 		return -EAGAIN;
1364 
1365 	mipi_csis_clk_disable(csis);
1366 
1367 	return 0;
1368 }
1369 
1370 static int __maybe_unused mipi_csis_runtime_resume(struct device *dev)
1371 {
1372 	struct v4l2_subdev *sd = dev_get_drvdata(dev);
1373 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1374 	int ret;
1375 
1376 	ret = mipi_csis_phy_enable(csis);
1377 	if (ret)
1378 		return -EAGAIN;
1379 
1380 	ret = mipi_csis_clk_enable(csis);
1381 	if (ret) {
1382 		mipi_csis_phy_disable(csis);
1383 		return ret;
1384 	}
1385 
1386 	return 0;
1387 }
1388 
1389 static const struct dev_pm_ops mipi_csis_pm_ops = {
1390 	SET_RUNTIME_PM_OPS(mipi_csis_runtime_suspend, mipi_csis_runtime_resume,
1391 			   NULL)
1392 };
1393 
1394 /* -----------------------------------------------------------------------------
1395  * Probe/remove & platform driver
1396  */
1397 
1398 static int mipi_csis_subdev_init(struct mipi_csis_device *csis)
1399 {
1400 	struct v4l2_subdev *sd = &csis->sd;
1401 
1402 	v4l2_subdev_init(sd, &mipi_csis_subdev_ops);
1403 	sd->owner = THIS_MODULE;
1404 	snprintf(sd->name, sizeof(sd->name), "csis-%s",
1405 		 dev_name(csis->dev));
1406 
1407 	sd->flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
1408 	sd->ctrl_handler = NULL;
1409 
1410 	sd->entity.function = MEDIA_ENT_F_VID_IF_BRIDGE;
1411 	sd->entity.ops = &mipi_csis_entity_ops;
1412 
1413 	sd->dev = csis->dev;
1414 
1415 	sd->fwnode = fwnode_graph_get_endpoint_by_id(dev_fwnode(csis->dev),
1416 						     1, 0, 0);
1417 	if (!sd->fwnode) {
1418 		dev_err(csis->dev, "Unable to retrieve endpoint for port@1\n");
1419 		return -ENOENT;
1420 	}
1421 
1422 	csis->csis_fmt = &mipi_csis_formats[0];
1423 	mipi_csis_init_cfg(sd, NULL);
1424 
1425 	csis->pads[CSIS_PAD_SINK].flags = MEDIA_PAD_FL_SINK
1426 					 | MEDIA_PAD_FL_MUST_CONNECT;
1427 	csis->pads[CSIS_PAD_SOURCE].flags = MEDIA_PAD_FL_SOURCE
1428 					   | MEDIA_PAD_FL_MUST_CONNECT;
1429 	return media_entity_pads_init(&sd->entity, CSIS_PADS_NUM,
1430 				      csis->pads);
1431 }
1432 
1433 static int mipi_csis_parse_dt(struct mipi_csis_device *csis)
1434 {
1435 	struct device_node *node = csis->dev->of_node;
1436 
1437 	if (of_property_read_u32(node, "clock-frequency",
1438 				 &csis->clk_frequency))
1439 		csis->clk_frequency = DEFAULT_SCLK_CSIS_FREQ;
1440 
1441 	return 0;
1442 }
1443 
1444 static int mipi_csis_probe(struct platform_device *pdev)
1445 {
1446 	struct device *dev = &pdev->dev;
1447 	struct mipi_csis_device *csis;
1448 	int irq;
1449 	int ret;
1450 
1451 	csis = devm_kzalloc(dev, sizeof(*csis), GFP_KERNEL);
1452 	if (!csis)
1453 		return -ENOMEM;
1454 
1455 	mutex_init(&csis->lock);
1456 	spin_lock_init(&csis->slock);
1457 
1458 	csis->dev = dev;
1459 	csis->info = of_device_get_match_data(dev);
1460 
1461 	memcpy(csis->events, mipi_csis_events, sizeof(csis->events));
1462 
1463 	/* Parse DT properties. */
1464 	ret = mipi_csis_parse_dt(csis);
1465 	if (ret < 0) {
1466 		dev_err(dev, "Failed to parse device tree: %d\n", ret);
1467 		return ret;
1468 	}
1469 
1470 	/* Acquire resources. */
1471 	csis->regs = devm_platform_ioremap_resource(pdev, 0);
1472 	if (IS_ERR(csis->regs))
1473 		return PTR_ERR(csis->regs);
1474 
1475 	irq = platform_get_irq(pdev, 0);
1476 	if (irq < 0)
1477 		return irq;
1478 
1479 	ret = mipi_csis_phy_init(csis);
1480 	if (ret < 0)
1481 		return ret;
1482 
1483 	ret = mipi_csis_clk_get(csis);
1484 	if (ret < 0)
1485 		return ret;
1486 
1487 	/* Reset PHY and enable the clocks. */
1488 	mipi_csis_phy_reset(csis);
1489 
1490 	ret = mipi_csis_clk_enable(csis);
1491 	if (ret < 0) {
1492 		dev_err(csis->dev, "failed to enable clocks: %d\n", ret);
1493 		return ret;
1494 	}
1495 
1496 	/* Now that the hardware is initialized, request the interrupt. */
1497 	ret = devm_request_irq(dev, irq, mipi_csis_irq_handler, 0,
1498 			       dev_name(dev), csis);
1499 	if (ret) {
1500 		dev_err(dev, "Interrupt request failed\n");
1501 		goto err_disable_clock;
1502 	}
1503 
1504 	/* Initialize and register the subdev. */
1505 	ret = mipi_csis_subdev_init(csis);
1506 	if (ret < 0)
1507 		goto err_disable_clock;
1508 
1509 	platform_set_drvdata(pdev, &csis->sd);
1510 
1511 	ret = mipi_csis_async_register(csis);
1512 	if (ret < 0) {
1513 		dev_err(dev, "async register failed: %d\n", ret);
1514 		goto err_cleanup;
1515 	}
1516 
1517 	/* Initialize debugfs. */
1518 	mipi_csis_debugfs_init(csis);
1519 
1520 	/* Enable runtime PM. */
1521 	pm_runtime_enable(dev);
1522 	if (!pm_runtime_enabled(dev)) {
1523 		ret = mipi_csis_runtime_resume(dev);
1524 		if (ret < 0)
1525 			goto err_unregister_all;
1526 	}
1527 
1528 	dev_info(dev, "lanes: %d, freq: %u\n",
1529 		 csis->bus.num_data_lanes, csis->clk_frequency);
1530 
1531 	return 0;
1532 
1533 err_unregister_all:
1534 	mipi_csis_debugfs_exit(csis);
1535 err_cleanup:
1536 	media_entity_cleanup(&csis->sd.entity);
1537 	v4l2_async_nf_unregister(&csis->notifier);
1538 	v4l2_async_nf_cleanup(&csis->notifier);
1539 	v4l2_async_unregister_subdev(&csis->sd);
1540 err_disable_clock:
1541 	mipi_csis_clk_disable(csis);
1542 	fwnode_handle_put(csis->sd.fwnode);
1543 	mutex_destroy(&csis->lock);
1544 
1545 	return ret;
1546 }
1547 
1548 static int mipi_csis_remove(struct platform_device *pdev)
1549 {
1550 	struct v4l2_subdev *sd = platform_get_drvdata(pdev);
1551 	struct mipi_csis_device *csis = sd_to_mipi_csis_device(sd);
1552 
1553 	mipi_csis_debugfs_exit(csis);
1554 	v4l2_async_nf_unregister(&csis->notifier);
1555 	v4l2_async_nf_cleanup(&csis->notifier);
1556 	v4l2_async_unregister_subdev(&csis->sd);
1557 
1558 	pm_runtime_disable(&pdev->dev);
1559 	mipi_csis_runtime_suspend(&pdev->dev);
1560 	mipi_csis_clk_disable(csis);
1561 	media_entity_cleanup(&csis->sd.entity);
1562 	fwnode_handle_put(csis->sd.fwnode);
1563 	mutex_destroy(&csis->lock);
1564 	pm_runtime_set_suspended(&pdev->dev);
1565 
1566 	return 0;
1567 }
1568 
1569 static const struct of_device_id mipi_csis_of_match[] = {
1570 	{
1571 		.compatible = "fsl,imx7-mipi-csi2",
1572 		.data = &(const struct mipi_csis_info){
1573 			.version = MIPI_CSIS_V3_3,
1574 			.num_clocks = 3,
1575 		},
1576 	}, {
1577 		.compatible = "fsl,imx8mm-mipi-csi2",
1578 		.data = &(const struct mipi_csis_info){
1579 			.version = MIPI_CSIS_V3_6_3,
1580 			.num_clocks = 4,
1581 		},
1582 	},
1583 	{ /* sentinel */ },
1584 };
1585 MODULE_DEVICE_TABLE(of, mipi_csis_of_match);
1586 
1587 static struct platform_driver mipi_csis_driver = {
1588 	.probe		= mipi_csis_probe,
1589 	.remove		= mipi_csis_remove,
1590 	.driver		= {
1591 		.of_match_table = mipi_csis_of_match,
1592 		.name		= CSIS_DRIVER_NAME,
1593 		.pm		= &mipi_csis_pm_ops,
1594 	},
1595 };
1596 
1597 module_platform_driver(mipi_csis_driver);
1598 
1599 MODULE_DESCRIPTION("i.MX7 & i.MX8 MIPI CSI-2 receiver driver");
1600 MODULE_LICENSE("GPL v2");
1601 MODULE_ALIAS("platform:imx-mipi-csi2");
1602