1 static uint32_t gk104_grhub_data[] = {
2 /* 0x0000: hub_mmio_list_head */
3 	0x00000300,
4 /* 0x0004: hub_mmio_list_tail */
5 	0x00000304,
6 /* 0x0008: gpc_count */
7 	0x00000000,
8 /* 0x000c: rop_count */
9 	0x00000000,
10 /* 0x0010: cmd_queue */
11 	0x00000000,
12 	0x00000000,
13 	0x00000000,
14 	0x00000000,
15 	0x00000000,
16 	0x00000000,
17 	0x00000000,
18 	0x00000000,
19 	0x00000000,
20 	0x00000000,
21 	0x00000000,
22 	0x00000000,
23 	0x00000000,
24 	0x00000000,
25 	0x00000000,
26 	0x00000000,
27 	0x00000000,
28 	0x00000000,
29 /* 0x0058: ctx_current */
30 	0x00000000,
31 	0x00000000,
32 	0x00000000,
33 	0x00000000,
34 	0x00000000,
35 	0x00000000,
36 	0x00000000,
37 	0x00000000,
38 	0x00000000,
39 	0x00000000,
40 	0x00000000,
41 	0x00000000,
42 	0x00000000,
43 	0x00000000,
44 	0x00000000,
45 	0x00000000,
46 	0x00000000,
47 	0x00000000,
48 	0x00000000,
49 	0x00000000,
50 	0x00000000,
51 	0x00000000,
52 	0x00000000,
53 	0x00000000,
54 	0x00000000,
55 	0x00000000,
56 	0x00000000,
57 	0x00000000,
58 	0x00000000,
59 	0x00000000,
60 	0x00000000,
61 	0x00000000,
62 	0x00000000,
63 	0x00000000,
64 	0x00000000,
65 	0x00000000,
66 	0x00000000,
67 	0x00000000,
68 	0x00000000,
69 	0x00000000,
70 	0x00000000,
71 	0x00000000,
72 /* 0x0100: chan_data */
73 /* 0x0100: chan_mmio_count */
74 	0x00000000,
75 /* 0x0104: chan_mmio_address */
76 	0x00000000,
77 	0x00000000,
78 	0x00000000,
79 	0x00000000,
80 	0x00000000,
81 	0x00000000,
82 	0x00000000,
83 	0x00000000,
84 	0x00000000,
85 	0x00000000,
86 	0x00000000,
87 	0x00000000,
88 	0x00000000,
89 	0x00000000,
90 	0x00000000,
91 	0x00000000,
92 	0x00000000,
93 	0x00000000,
94 	0x00000000,
95 	0x00000000,
96 	0x00000000,
97 	0x00000000,
98 	0x00000000,
99 	0x00000000,
100 	0x00000000,
101 	0x00000000,
102 	0x00000000,
103 	0x00000000,
104 	0x00000000,
105 	0x00000000,
106 	0x00000000,
107 	0x00000000,
108 	0x00000000,
109 	0x00000000,
110 	0x00000000,
111 	0x00000000,
112 	0x00000000,
113 	0x00000000,
114 	0x00000000,
115 	0x00000000,
116 	0x00000000,
117 	0x00000000,
118 	0x00000000,
119 	0x00000000,
120 	0x00000000,
121 	0x00000000,
122 	0x00000000,
123 	0x00000000,
124 	0x00000000,
125 	0x00000000,
126 	0x00000000,
127 	0x00000000,
128 	0x00000000,
129 	0x00000000,
130 	0x00000000,
131 	0x00000000,
132 	0x00000000,
133 	0x00000000,
134 	0x00000000,
135 	0x00000000,
136 	0x00000000,
137 	0x00000000,
138 	0x00000000,
139 /* 0x0200: xfer_data */
140 	0x00000000,
141 	0x00000000,
142 	0x00000000,
143 	0x00000000,
144 	0x00000000,
145 	0x00000000,
146 	0x00000000,
147 	0x00000000,
148 	0x00000000,
149 	0x00000000,
150 	0x00000000,
151 	0x00000000,
152 	0x00000000,
153 	0x00000000,
154 	0x00000000,
155 	0x00000000,
156 	0x00000000,
157 	0x00000000,
158 	0x00000000,
159 	0x00000000,
160 	0x00000000,
161 	0x00000000,
162 	0x00000000,
163 	0x00000000,
164 	0x00000000,
165 	0x00000000,
166 	0x00000000,
167 	0x00000000,
168 	0x00000000,
169 	0x00000000,
170 	0x00000000,
171 	0x00000000,
172 	0x00000000,
173 	0x00000000,
174 	0x00000000,
175 	0x00000000,
176 	0x00000000,
177 	0x00000000,
178 	0x00000000,
179 	0x00000000,
180 	0x00000000,
181 	0x00000000,
182 	0x00000000,
183 	0x00000000,
184 	0x00000000,
185 	0x00000000,
186 	0x00000000,
187 	0x00000000,
188 	0x00000000,
189 	0x00000000,
190 	0x00000000,
191 	0x00000000,
192 	0x00000000,
193 	0x00000000,
194 	0x00000000,
195 	0x00000000,
196 	0x00000000,
197 	0x00000000,
198 	0x00000000,
199 	0x00000000,
200 	0x00000000,
201 	0x00000000,
202 	0x00000000,
203 	0x00000000,
204 /* 0x0300: hub_mmio_list_base */
205 	0x0417e91c,
206 };
207 
208 static uint32_t gk104_grhub_code[] = {
209 	0x039b0ef5,
210 /* 0x0004: queue_put */
211 	0x9800d898,
212 	0x86f001d9,
213 	0x0489b808,
214 	0xf00c1bf4,
215 	0x21f502f7,
216 	0x00f8037e,
217 /* 0x001c: queue_put_next */
218 	0xb60798c4,
219 	0x8dbb0384,
220 	0x0880b600,
221 	0x80008e80,
222 	0x90b6018f,
223 	0x0f94f001,
224 	0xf801d980,
225 /* 0x0039: queue_get */
226 	0x0131f400,
227 	0x9800d898,
228 	0x89b801d9,
229 	0x210bf404,
230 	0xb60789c4,
231 	0x9dbb0394,
232 	0x0890b600,
233 	0x98009e98,
234 	0x80b6019f,
235 	0x0f84f001,
236 	0xf400d880,
237 /* 0x0066: queue_get_done */
238 	0x00f80132,
239 /* 0x0068: nv_rd32 */
240 	0xf002ecb9,
241 	0x07f11fc9,
242 	0x03f0ca00,
243 	0x000cd001,
244 /* 0x007a: nv_rd32_wait */
245 	0xc7f104bd,
246 	0xc3f0ca00,
247 	0x00cccf01,
248 	0xf41fccc8,
249 	0xa7f0f31b,
250 	0x1021f506,
251 	0x00f7f101,
252 	0x01f3f0cb,
253 	0xf800ffcf,
254 /* 0x009d: nv_wr32 */
255 	0x0007f100,
256 	0x0103f0cc,
257 	0xbd000fd0,
258 	0x02ecb904,
259 	0xf01fc9f0,
260 	0x07f11ec9,
261 	0x03f0ca00,
262 	0x000cd001,
263 /* 0x00be: nv_wr32_wait */
264 	0xc7f104bd,
265 	0xc3f0ca00,
266 	0x00cccf01,
267 	0xf41fccc8,
268 	0x00f8f31b,
269 /* 0x00d0: wait_donez */
270 	0x99f094bd,
271 	0x0007f100,
272 	0x0203f00f,
273 	0xbd0009d0,
274 	0x0007f104,
275 	0x0203f006,
276 	0xbd000ad0,
277 /* 0x00ed: wait_donez_ne */
278 	0x0087f104,
279 	0x0183f000,
280 	0xff0088cf,
281 	0x1bf4888a,
282 	0xf094bdf3,
283 	0x07f10099,
284 	0x03f01700,
285 	0x0009d002,
286 	0x00f804bd,
287 /* 0x0110: wait_doneo */
288 	0x99f094bd,
289 	0x0007f100,
290 	0x0203f00f,
291 	0xbd0009d0,
292 	0x0007f104,
293 	0x0203f006,
294 	0xbd000ad0,
295 /* 0x012d: wait_doneo_e */
296 	0x0087f104,
297 	0x0183f000,
298 	0xff0088cf,
299 	0x0bf4888a,
300 	0xf094bdf3,
301 	0x07f10099,
302 	0x03f01700,
303 	0x0009d002,
304 	0x00f804bd,
305 /* 0x0150: mmctx_size */
306 /* 0x0152: nv_mmctx_size_loop */
307 	0xe89894bd,
308 	0x1a85b600,
309 	0xb60180b6,
310 	0x98bb0284,
311 	0x04e0b600,
312 	0xf404efb8,
313 	0x9fb9eb1b,
314 /* 0x016f: mmctx_xfer */
315 	0xbd00f802,
316 	0x0199f094,
317 	0x0f0007f1,
318 	0xd00203f0,
319 	0x04bd0009,
320 	0xbbfd94bd,
321 	0x120bf405,
322 	0xc40007f1,
323 	0xd00103f0,
324 	0x04bd000b,
325 /* 0x0197: mmctx_base_disabled */
326 	0xfd0099f0,
327 	0x0bf405ee,
328 	0x0007f11e,
329 	0x0103f0c6,
330 	0xbd000ed0,
331 	0x0007f104,
332 	0x0103f0c7,
333 	0xbd000fd0,
334 	0x0199f004,
335 /* 0x01b8: mmctx_multi_disabled */
336 	0xb600abc8,
337 	0xb9f010b4,
338 	0x01aec80c,
339 	0xfd11e4b6,
340 	0x07f105be,
341 	0x03f0c500,
342 	0x000bd001,
343 /* 0x01d6: mmctx_exec_loop */
344 /* 0x01d6: mmctx_wait_free */
345 	0xe7f104bd,
346 	0xe3f0c500,
347 	0x00eecf01,
348 	0xf41fe4f0,
349 	0xce98f30b,
350 	0x05e9fd00,
351 	0xc80007f1,
352 	0xd00103f0,
353 	0x04bd000e,
354 	0xb804c0b6,
355 	0x1bf404cd,
356 	0x02abc8d8,
357 /* 0x0207: mmctx_fini_wait */
358 	0xf11f1bf4,
359 	0xf0c500b7,
360 	0xbbcf01b3,
361 	0x1fb4f000,
362 	0xf410b4b0,
363 	0xa7f0f01b,
364 	0xd021f405,
365 /* 0x0223: mmctx_stop */
366 	0xc82b0ef4,
367 	0xb4b600ab,
368 	0x0cb9f010,
369 	0xf112b9f0,
370 	0xf0c50007,
371 	0x0bd00103,
372 /* 0x023b: mmctx_stop_wait */
373 	0xf104bd00,
374 	0xf0c500b7,
375 	0xbbcf01b3,
376 	0x12bbc800,
377 /* 0x024b: mmctx_done */
378 	0xbdf31bf4,
379 	0x0199f094,
380 	0x170007f1,
381 	0xd00203f0,
382 	0x04bd0009,
383 /* 0x025e: strand_wait */
384 	0xa0f900f8,
385 	0xf402a7f0,
386 	0xa0fcd021,
387 /* 0x026a: strand_pre */
388 	0x97f000f8,
389 	0xfc07f10c,
390 	0x0203f04a,
391 	0xbd0009d0,
392 	0x5e21f504,
393 /* 0x027f: strand_post */
394 	0xf000f802,
395 	0x07f10d97,
396 	0x03f04afc,
397 	0x0009d002,
398 	0x21f504bd,
399 	0x00f8025e,
400 /* 0x0294: strand_set */
401 	0xf10fc7f0,
402 	0xf04ffc07,
403 	0x0cd00203,
404 	0xf004bd00,
405 	0x07f10bc7,
406 	0x03f04afc,
407 	0x000cd002,
408 	0x07f104bd,
409 	0x03f04ffc,
410 	0x000ed002,
411 	0xc7f004bd,
412 	0xfc07f10a,
413 	0x0203f04a,
414 	0xbd000cd0,
415 	0x5e21f504,
416 /* 0x02d3: strand_ctx_init */
417 	0xbd00f802,
418 	0x0399f094,
419 	0x0f0007f1,
420 	0xd00203f0,
421 	0x04bd0009,
422 	0x026a21f5,
423 	0xf503e7f0,
424 	0xbd029421,
425 	0xfc07f1c4,
426 	0x0203f047,
427 	0xbd000cd0,
428 	0x01c7f004,
429 	0x4afc07f1,
430 	0xd00203f0,
431 	0x04bd000c,
432 	0x025e21f5,
433 	0xf1010c92,
434 	0xf046fc07,
435 	0x0cd00203,
436 	0xf004bd00,
437 	0x07f102c7,
438 	0x03f04afc,
439 	0x000cd002,
440 	0x21f504bd,
441 	0x21f5025e,
442 	0x87f1027f,
443 	0x83f04200,
444 	0x0097f102,
445 	0x0293f020,
446 	0x950099cf,
447 /* 0x034a: ctx_init_strand_loop */
448 	0x8ed008fe,
449 	0x408ed000,
450 	0xb6808acf,
451 	0xa0b606a5,
452 	0x00eabb01,
453 	0xb60480b6,
454 	0x1bf40192,
455 	0x08e4b6e8,
456 	0xbdf2efbc,
457 	0x0399f094,
458 	0x170007f1,
459 	0xd00203f0,
460 	0x04bd0009,
461 /* 0x037e: error */
462 	0x07f100f8,
463 	0x03f00500,
464 	0x000fd002,
465 	0xf7f004bd,
466 	0x0007f101,
467 	0x0303f007,
468 	0xbd000fd0,
469 /* 0x039b: init */
470 	0xbd00f804,
471 	0x0007fe04,
472 	0x420017f1,
473 	0xcf0013f0,
474 	0x11e70011,
475 	0x14b60109,
476 	0x0014fe08,
477 	0xf10227f0,
478 	0xf0120007,
479 	0x02d00003,
480 	0xf104bd00,
481 	0xfe06c817,
482 	0x24bd0010,
483 	0x070007f1,
484 	0xd00003f0,
485 	0x04bd0002,
486 	0x200327f1,
487 	0x010007f1,
488 	0xd00103f0,
489 	0x04bd0002,
490 	0x200427f1,
491 	0x010407f1,
492 	0xd00103f0,
493 	0x04bd0002,
494 	0x200b27f1,
495 	0x010807f1,
496 	0xd00103f0,
497 	0x04bd0002,
498 	0x200c27f1,
499 	0x011c07f1,
500 	0xd00103f0,
501 	0x04bd0002,
502 	0xf1010392,
503 	0xf0090007,
504 	0x03d00303,
505 	0xf104bd00,
506 	0xf0870427,
507 	0x07f10023,
508 	0x03f00400,
509 	0x0002d000,
510 	0x27f004bd,
511 	0x0007f104,
512 	0x0003f003,
513 	0xbd0002d0,
514 	0x1031f404,
515 	0x9604e7f1,
516 	0xf440e3f0,
517 	0xfeb96821,
518 	0x90f1c702,
519 	0xf0030180,
520 	0x0f801ff4,
521 	0x0117f002,
522 	0xb6041fbb,
523 	0x07f10112,
524 	0x03f00300,
525 	0x0001d001,
526 	0x07f104bd,
527 	0x03f00400,
528 	0x0001d001,
529 	0x17f104bd,
530 	0xf7f00100,
531 	0xdb21f502,
532 	0xed21f507,
533 	0x10f7f007,
534 	0x083a21f5,
535 	0x98000e98,
536 	0x21f5010f,
537 	0x14950150,
538 	0x0007f108,
539 	0x0103f0c0,
540 	0xbd0004d0,
541 	0x0007f104,
542 	0x0103f0c1,
543 	0xbd0004d0,
544 	0x0030b704,
545 	0x001fbb13,
546 	0xf102f5b6,
547 	0xf0d30007,
548 	0x0fd00103,
549 	0xb604bd00,
550 	0x10b60815,
551 	0x0814b601,
552 	0xf5021fb9,
553 	0xbb02d321,
554 	0x0398001f,
555 	0x0047f102,
556 	0x5043f020,
557 /* 0x04f4: init_gpc */
558 	0x08044ea0,
559 	0xf4021fb9,
560 	0x4ea09d21,
561 	0xf4bd010c,
562 	0xa09d21f4,
563 	0xf401044e,
564 	0x4ea09d21,
565 	0xf7f00100,
566 	0x9d21f402,
567 	0x08004ea0,
568 /* 0x051c: init_gpc_wait */
569 	0xc86821f4,
570 	0x0bf41fff,
571 	0x044ea0fa,
572 	0x6821f408,
573 	0xb7001fbb,
574 	0xb6800040,
575 	0x1bf40132,
576 	0x00f7f0be,
577 	0x083a21f5,
578 	0xf500f7f0,
579 	0xf107db21,
580 	0xf0010007,
581 	0x01d00203,
582 	0xbd04bd00,
583 	0x1f19f014,
584 	0x080007f1,
585 	0xd00203f0,
586 	0x04bd0001,
587 /* 0x0564: wait */
588 	0xf40028f4,
589 /* 0x056a: main */
590 	0xd7f00031,
591 	0x3921f410,
592 	0xb1f401f4,
593 	0xf54001e4,
594 	0xbd00e91b,
595 	0x0499f094,
596 	0x0f0007f1,
597 	0xd00203f0,
598 	0x04bd0009,
599 	0xc00017f1,
600 	0xcf0213f0,
601 	0x27f10011,
602 	0x23f0c100,
603 	0x0022cf02,
604 	0xf51f13c8,
605 	0xc800890b,
606 	0x0bf41f23,
607 	0xb920f962,
608 	0x94bd0212,
609 	0xf10799f0,
610 	0xf00f0007,
611 	0x09d00203,
612 	0xf404bd00,
613 	0x31f40132,
614 	0x0621f502,
615 	0xf094bd0a,
616 	0x07f10799,
617 	0x03f01700,
618 	0x0009d002,
619 	0x20fc04bd,
620 	0x99f094bd,
621 	0x0007f106,
622 	0x0203f00f,
623 	0xbd0009d0,
624 	0x0131f404,
625 	0x0a0621f5,
626 	0x99f094bd,
627 	0x0007f106,
628 	0x0203f017,
629 	0xbd0009d0,
630 	0x330ef404,
631 /* 0x060c: chsw_prev_no_next */
632 	0x12b920f9,
633 	0x0132f402,
634 	0xf50232f4,
635 	0xfc0a0621,
636 	0x0007f120,
637 	0x0203f0c0,
638 	0xbd0002d0,
639 	0x130ef404,
640 /* 0x062c: chsw_no_prev */
641 	0xf41f23c8,
642 	0x31f40d0b,
643 	0x0232f401,
644 	0x0a0621f5,
645 /* 0x063c: chsw_done */
646 	0xf10127f0,
647 	0xf0c30007,
648 	0x02d00203,
649 	0xbd04bd00,
650 	0x0499f094,
651 	0x170007f1,
652 	0xd00203f0,
653 	0x04bd0009,
654 	0xff0e0ef5,
655 /* 0x0660: main_not_ctx_switch */
656 	0xf401e4b0,
657 	0xf2b90d1b,
658 	0x9e21f502,
659 	0x460ef409,
660 /* 0x0670: main_not_ctx_chan */
661 	0xf402e4b0,
662 	0x94bd321b,
663 	0xf10799f0,
664 	0xf00f0007,
665 	0x09d00203,
666 	0xf404bd00,
667 	0x32f40132,
668 	0x0621f502,
669 	0xf094bd0a,
670 	0x07f10799,
671 	0x03f01700,
672 	0x0009d002,
673 	0x0ef404bd,
674 /* 0x06a5: main_not_ctx_save */
675 	0x10ef9411,
676 	0xf501f5f0,
677 	0xf5037e21,
678 /* 0x06b3: main_done */
679 	0xbdfebb0e,
680 	0x1f29f024,
681 	0x080007f1,
682 	0xd00203f0,
683 	0x04bd0002,
684 	0xfea60ef5,
685 /* 0x06c8: ih */
686 	0x80f900f9,
687 	0xf90188fe,
688 	0xf990f980,
689 	0xf9b0f9a0,
690 	0xf9e0f9d0,
691 	0xf104bdf0,
692 	0xf00200a7,
693 	0xaacf00a3,
694 	0x04abc400,
695 	0xf0300bf4,
696 	0xe7f110d7,
697 	0xe3f01a00,
698 	0x00eecf00,
699 	0x1900f7f1,
700 	0xcf00f3f0,
701 	0x21f400ff,
702 	0x00b0b704,
703 	0x01e7f004,
704 	0x1d0007f1,
705 	0xd00003f0,
706 	0x04bd000e,
707 /* 0x071c: ih_no_fifo */
708 	0x0100abe4,
709 	0xf00d0bf4,
710 	0xe7f110d7,
711 	0x21f44001,
712 /* 0x072d: ih_no_ctxsw */
713 	0x00abe404,
714 	0x6c0bf404,
715 	0x0708e7f1,
716 	0xf440e3f0,
717 	0xffb96821,
718 	0x0007f102,
719 	0x0203f004,
720 	0xbd000fd0,
721 	0x04e7f104,
722 	0x40e3f007,
723 	0xb96821f4,
724 	0x07f102ff,
725 	0x03f00300,
726 	0x000fd002,
727 	0xfec704bd,
728 	0x02ee9450,
729 	0x0700f7f1,
730 	0xbb40f3f0,
731 	0x21f400ef,
732 	0x0007f168,
733 	0x0203f002,
734 	0xbd000fd0,
735 	0x03f7f004,
736 	0x037e21f5,
737 	0x0100b7f1,
738 	0xf102bfb9,
739 	0xf00144e7,
740 	0x21f440e3,
741 /* 0x079d: ih_no_fwmthd */
742 	0x04b7f19d,
743 	0xffb0bd05,
744 	0x0bf4b4ab,
745 	0x0007f10f,
746 	0x0303f007,
747 	0xbd000bd0,
748 /* 0x07b5: ih_no_other */
749 	0x0007f104,
750 	0x0003f001,
751 	0xbd000ad0,
752 	0xfcf0fc04,
753 	0xfcd0fce0,
754 	0xfca0fcb0,
755 	0xfe80fc90,
756 	0x80fc0088,
757 	0x32f400fc,
758 /* 0x07db: ctx_4170s */
759 	0xf001f800,
760 	0xffb910f5,
761 	0x70e7f102,
762 	0x40e3f041,
763 	0xf89d21f4,
764 /* 0x07ed: ctx_4170w */
765 	0x70e7f100,
766 	0x40e3f041,
767 	0xb96821f4,
768 	0xf4f002ff,
769 	0xf01bf410,
770 /* 0x0802: ctx_redswitch */
771 	0xe7f100f8,
772 	0xe5f00200,
773 	0x20e5f040,
774 	0xf110e5f0,
775 	0xf0850007,
776 	0x0ed00103,
777 	0xf004bd00,
778 /* 0x081e: ctx_redswitch_delay */
779 	0xf2b608f7,
780 	0xfd1bf401,
781 	0x0400e5f1,
782 	0x0100e5f1,
783 	0x850007f1,
784 	0xd00103f0,
785 	0x04bd000e,
786 /* 0x083a: ctx_86c */
787 	0x07f100f8,
788 	0x03f01b00,
789 	0x000fd002,
790 	0xffb904bd,
791 	0x14e7f102,
792 	0x40e3f08a,
793 	0xb99d21f4,
794 	0xe7f102ff,
795 	0xe3f0a86c,
796 	0x9d21f441,
797 /* 0x0862: ctx_mem */
798 	0x07f100f8,
799 	0x03f08400,
800 	0x000fd002,
801 /* 0x086e: ctx_mem_wait */
802 	0xf7f104bd,
803 	0xf3f08400,
804 	0x00ffcf02,
805 	0xf405fffd,
806 	0x00f8f31b,
807 /* 0x0880: ctx_load */
808 	0x99f094bd,
809 	0x0007f105,
810 	0x0203f00f,
811 	0xbd0009d0,
812 	0x0ca7f004,
813 	0xbdd021f4,
814 	0x0007f1f4,
815 	0x0203f089,
816 	0xbd000fd0,
817 	0x0007f104,
818 	0x0203f0c1,
819 	0xbd0002d0,
820 	0x0007f104,
821 	0x0203f083,
822 	0xbd0002d0,
823 	0x07f7f004,
824 	0x086221f5,
825 	0xc00007f1,
826 	0xd00203f0,
827 	0x04bd0002,
828 	0xf0000bfe,
829 	0x24b61f2a,
830 	0x0220b604,
831 	0x99f094bd,
832 	0x0007f108,
833 	0x0203f00f,
834 	0xbd0009d0,
835 	0x0007f104,
836 	0x0203f081,
837 	0xbd0002d0,
838 	0x0027f104,
839 	0x0023f100,
840 	0x0225f080,
841 	0x880007f1,
842 	0xd00203f0,
843 	0x04bd0002,
844 	0xf11017f0,
845 	0xf0020027,
846 	0x12fa0223,
847 	0xbd03f805,
848 	0x0899f094,
849 	0x170007f1,
850 	0xd00203f0,
851 	0x04bd0009,
852 	0xb6810198,
853 	0x02981814,
854 	0x0825b680,
855 	0x800512fd,
856 	0x94bd1601,
857 	0xf10999f0,
858 	0xf00f0007,
859 	0x09d00203,
860 	0xf104bd00,
861 	0xf0810007,
862 	0x01d00203,
863 	0xf004bd00,
864 	0x07f10127,
865 	0x03f08800,
866 	0x0002d002,
867 	0x17f104bd,
868 	0x13f00100,
869 	0x0501fa06,
870 	0x94bd03f8,
871 	0xf10999f0,
872 	0xf0170007,
873 	0x09d00203,
874 	0xbd04bd00,
875 	0x0599f094,
876 	0x170007f1,
877 	0xd00203f0,
878 	0x04bd0009,
879 /* 0x099e: ctx_chan */
880 	0x21f500f8,
881 	0xa7f00880,
882 	0xd021f40c,
883 	0xf505f7f0,
884 	0xf8086221,
885 /* 0x09b1: ctx_mmio_exec */
886 	0x41039800,
887 	0x810007f1,
888 	0xd00203f0,
889 	0x04bd0003,
890 /* 0x09c2: ctx_mmio_loop */
891 	0x34c434bd,
892 	0x0f1bf4ff,
893 	0x020057f1,
894 	0xfa0653f0,
895 	0x03f80535,
896 /* 0x09d4: ctx_mmio_pull */
897 	0x98804e98,
898 	0x21f4814f,
899 	0x0830b69d,
900 	0xf40112b6,
901 /* 0x09e6: ctx_mmio_done */
902 	0x0398df1b,
903 	0x0007f116,
904 	0x0203f081,
905 	0xbd0003d0,
906 	0x40008004,
907 	0x010017f1,
908 	0xfa0613f0,
909 	0x03f80601,
910 /* 0x0a06: ctx_xfer */
911 	0xe7f000f8,
912 	0x0007f104,
913 	0x0303f002,
914 	0xbd000ed0,
915 /* 0x0a15: ctx_xfer_idle */
916 	0x00e7f104,
917 	0x03e3f000,
918 	0xf100eecf,
919 	0xf42000e4,
920 	0x11f4f21b,
921 	0x0d02f406,
922 /* 0x0a2c: ctx_xfer_pre */
923 	0xf510f7f0,
924 	0xf4083a21,
925 /* 0x0a36: ctx_xfer_pre_load */
926 	0xf7f01c11,
927 	0xdb21f502,
928 	0xed21f507,
929 	0x0221f507,
930 	0xf5f4bd08,
931 	0xf507db21,
932 /* 0x0a4f: ctx_xfer_exec */
933 	0x98088021,
934 	0x24bd1601,
935 	0x050007f1,
936 	0xd00103f0,
937 	0x04bd0002,
938 	0xf1021fb9,
939 	0xf0a500e7,
940 	0x21f441e3,
941 	0x01fcf09d,
942 	0xb6022cf0,
943 	0xf2fd0124,
944 	0x02ffb905,
945 	0xa504e7f1,
946 	0xf441e3f0,
947 	0x21f59d21,
948 	0x24bd026a,
949 	0x47fc07f1,
950 	0xd00203f0,
951 	0x04bd0002,
952 	0xb6012cf0,
953 	0x07f10320,
954 	0x03f04afc,
955 	0x0002d002,
956 	0xacf004bd,
957 	0x06a5f001,
958 	0x9800b7f0,
959 	0x0d98000c,
960 	0x00e7f001,
961 	0x016f21f5,
962 	0xf508a7f0,
963 	0xf5011021,
964 	0xf4025e21,
965 	0xa7f01301,
966 	0xd021f40c,
967 	0xf505f7f0,
968 	0xf4086221,
969 /* 0x0ade: ctx_xfer_post */
970 	0xf7f02e02,
971 	0xdb21f502,
972 	0xf5f4bd07,
973 	0xf5083a21,
974 	0xf5027f21,
975 	0xbd07ed21,
976 	0xdb21f5f4,
977 	0x1011f407,
978 	0xfd400198,
979 	0x0bf40511,
980 	0xb121f507,
981 /* 0x0b09: ctx_xfer_no_post_mmio */
982 /* 0x0b09: ctx_xfer_done */
983 	0x0000f809,
984 	0x00000000,
985 	0x00000000,
986 	0x00000000,
987 	0x00000000,
988 	0x00000000,
989 	0x00000000,
990 	0x00000000,
991 	0x00000000,
992 	0x00000000,
993 	0x00000000,
994 	0x00000000,
995 	0x00000000,
996 	0x00000000,
997 	0x00000000,
998 	0x00000000,
999 	0x00000000,
1000 	0x00000000,
1001 	0x00000000,
1002 	0x00000000,
1003 	0x00000000,
1004 	0x00000000,
1005 	0x00000000,
1006 	0x00000000,
1007 	0x00000000,
1008 	0x00000000,
1009 	0x00000000,
1010 	0x00000000,
1011 	0x00000000,
1012 	0x00000000,
1013 	0x00000000,
1014 	0x00000000,
1015 	0x00000000,
1016 	0x00000000,
1017 	0x00000000,
1018 	0x00000000,
1019 	0x00000000,
1020 	0x00000000,
1021 	0x00000000,
1022 	0x00000000,
1023 	0x00000000,
1024 	0x00000000,
1025 	0x00000000,
1026 	0x00000000,
1027 	0x00000000,
1028 	0x00000000,
1029 	0x00000000,
1030 	0x00000000,
1031 	0x00000000,
1032 	0x00000000,
1033 	0x00000000,
1034 	0x00000000,
1035 	0x00000000,
1036 	0x00000000,
1037 	0x00000000,
1038 	0x00000000,
1039 	0x00000000,
1040 	0x00000000,
1041 	0x00000000,
1042 	0x00000000,
1043 	0x00000000,
1044 	0x00000000,
1045 };
1046