1 uint32_t gf117_grhub_data[] = {
2 /* 0x0000: hub_mmio_list_head */
3 	0x00000300,
4 /* 0x0004: hub_mmio_list_tail */
5 	0x00000304,
6 /* 0x0008: gpc_count */
7 	0x00000000,
8 /* 0x000c: rop_count */
9 	0x00000000,
10 /* 0x0010: cmd_queue */
11 	0x00000000,
12 	0x00000000,
13 	0x00000000,
14 	0x00000000,
15 	0x00000000,
16 	0x00000000,
17 	0x00000000,
18 	0x00000000,
19 	0x00000000,
20 	0x00000000,
21 	0x00000000,
22 	0x00000000,
23 	0x00000000,
24 	0x00000000,
25 	0x00000000,
26 	0x00000000,
27 	0x00000000,
28 	0x00000000,
29 /* 0x0058: ctx_current */
30 	0x00000000,
31 	0x00000000,
32 	0x00000000,
33 	0x00000000,
34 	0x00000000,
35 	0x00000000,
36 	0x00000000,
37 	0x00000000,
38 	0x00000000,
39 	0x00000000,
40 	0x00000000,
41 	0x00000000,
42 	0x00000000,
43 	0x00000000,
44 	0x00000000,
45 	0x00000000,
46 	0x00000000,
47 	0x00000000,
48 	0x00000000,
49 	0x00000000,
50 	0x00000000,
51 	0x00000000,
52 	0x00000000,
53 	0x00000000,
54 	0x00000000,
55 	0x00000000,
56 	0x00000000,
57 	0x00000000,
58 	0x00000000,
59 	0x00000000,
60 	0x00000000,
61 	0x00000000,
62 	0x00000000,
63 	0x00000000,
64 	0x00000000,
65 	0x00000000,
66 	0x00000000,
67 	0x00000000,
68 	0x00000000,
69 	0x00000000,
70 	0x00000000,
71 	0x00000000,
72 /* 0x0100: chan_data */
73 /* 0x0100: chan_mmio_count */
74 	0x00000000,
75 /* 0x0104: chan_mmio_address */
76 	0x00000000,
77 	0x00000000,
78 	0x00000000,
79 	0x00000000,
80 	0x00000000,
81 	0x00000000,
82 	0x00000000,
83 	0x00000000,
84 	0x00000000,
85 	0x00000000,
86 	0x00000000,
87 	0x00000000,
88 	0x00000000,
89 	0x00000000,
90 	0x00000000,
91 	0x00000000,
92 	0x00000000,
93 	0x00000000,
94 	0x00000000,
95 	0x00000000,
96 	0x00000000,
97 	0x00000000,
98 	0x00000000,
99 	0x00000000,
100 	0x00000000,
101 	0x00000000,
102 	0x00000000,
103 	0x00000000,
104 	0x00000000,
105 	0x00000000,
106 	0x00000000,
107 	0x00000000,
108 	0x00000000,
109 	0x00000000,
110 	0x00000000,
111 	0x00000000,
112 	0x00000000,
113 	0x00000000,
114 	0x00000000,
115 	0x00000000,
116 	0x00000000,
117 	0x00000000,
118 	0x00000000,
119 	0x00000000,
120 	0x00000000,
121 	0x00000000,
122 	0x00000000,
123 	0x00000000,
124 	0x00000000,
125 	0x00000000,
126 	0x00000000,
127 	0x00000000,
128 	0x00000000,
129 	0x00000000,
130 	0x00000000,
131 	0x00000000,
132 	0x00000000,
133 	0x00000000,
134 	0x00000000,
135 	0x00000000,
136 	0x00000000,
137 	0x00000000,
138 	0x00000000,
139 /* 0x0200: xfer_data */
140 	0x00000000,
141 	0x00000000,
142 	0x00000000,
143 	0x00000000,
144 	0x00000000,
145 	0x00000000,
146 	0x00000000,
147 	0x00000000,
148 	0x00000000,
149 	0x00000000,
150 	0x00000000,
151 	0x00000000,
152 	0x00000000,
153 	0x00000000,
154 	0x00000000,
155 	0x00000000,
156 	0x00000000,
157 	0x00000000,
158 	0x00000000,
159 	0x00000000,
160 	0x00000000,
161 	0x00000000,
162 	0x00000000,
163 	0x00000000,
164 	0x00000000,
165 	0x00000000,
166 	0x00000000,
167 	0x00000000,
168 	0x00000000,
169 	0x00000000,
170 	0x00000000,
171 	0x00000000,
172 	0x00000000,
173 	0x00000000,
174 	0x00000000,
175 	0x00000000,
176 	0x00000000,
177 	0x00000000,
178 	0x00000000,
179 	0x00000000,
180 	0x00000000,
181 	0x00000000,
182 	0x00000000,
183 	0x00000000,
184 	0x00000000,
185 	0x00000000,
186 	0x00000000,
187 	0x00000000,
188 	0x00000000,
189 	0x00000000,
190 	0x00000000,
191 	0x00000000,
192 	0x00000000,
193 	0x00000000,
194 	0x00000000,
195 	0x00000000,
196 	0x00000000,
197 	0x00000000,
198 	0x00000000,
199 	0x00000000,
200 	0x00000000,
201 	0x00000000,
202 	0x00000000,
203 	0x00000000,
204 /* 0x0300: hub_mmio_list_base */
205 	0x0417e91c,
206 };
207 
208 uint32_t gf117_grhub_code[] = {
209 	0x039b0ef5,
210 /* 0x0004: queue_put */
211 	0x9800d898,
212 	0x86f001d9,
213 	0x0489b808,
214 	0xf00c1bf4,
215 	0x21f502f7,
216 	0x00f8037e,
217 /* 0x001c: queue_put_next */
218 	0xb60798c4,
219 	0x8dbb0384,
220 	0x0880b600,
221 	0x80008e80,
222 	0x90b6018f,
223 	0x0f94f001,
224 	0xf801d980,
225 /* 0x0039: queue_get */
226 	0x0131f400,
227 	0x9800d898,
228 	0x89b801d9,
229 	0x210bf404,
230 	0xb60789c4,
231 	0x9dbb0394,
232 	0x0890b600,
233 	0x98009e98,
234 	0x80b6019f,
235 	0x0f84f001,
236 	0xf400d880,
237 /* 0x0066: queue_get_done */
238 	0x00f80132,
239 /* 0x0068: nv_rd32 */
240 	0xf002ecb9,
241 	0x07f11fc9,
242 	0x03f0ca00,
243 	0x000cd001,
244 /* 0x007a: nv_rd32_wait */
245 	0xc7f104bd,
246 	0xc3f0ca00,
247 	0x00cccf01,
248 	0xf41fccc8,
249 	0xa7f0f31b,
250 	0x1021f506,
251 	0x00f7f101,
252 	0x01f3f0cb,
253 	0xf800ffcf,
254 /* 0x009d: nv_wr32 */
255 	0x0007f100,
256 	0x0103f0cc,
257 	0xbd000fd0,
258 	0x02ecb904,
259 	0xf01fc9f0,
260 	0x07f11ec9,
261 	0x03f0ca00,
262 	0x000cd001,
263 /* 0x00be: nv_wr32_wait */
264 	0xc7f104bd,
265 	0xc3f0ca00,
266 	0x00cccf01,
267 	0xf41fccc8,
268 	0x00f8f31b,
269 /* 0x00d0: wait_donez */
270 	0x99f094bd,
271 	0x0007f100,
272 	0x0203f00f,
273 	0xbd0009d0,
274 	0x0007f104,
275 	0x0203f006,
276 	0xbd000ad0,
277 /* 0x00ed: wait_donez_ne */
278 	0x0087f104,
279 	0x0183f000,
280 	0xff0088cf,
281 	0x1bf4888a,
282 	0xf094bdf3,
283 	0x07f10099,
284 	0x03f01700,
285 	0x0009d002,
286 	0x00f804bd,
287 /* 0x0110: wait_doneo */
288 	0x99f094bd,
289 	0x0007f100,
290 	0x0203f00f,
291 	0xbd0009d0,
292 	0x0007f104,
293 	0x0203f006,
294 	0xbd000ad0,
295 /* 0x012d: wait_doneo_e */
296 	0x0087f104,
297 	0x0183f000,
298 	0xff0088cf,
299 	0x0bf4888a,
300 	0xf094bdf3,
301 	0x07f10099,
302 	0x03f01700,
303 	0x0009d002,
304 	0x00f804bd,
305 /* 0x0150: mmctx_size */
306 /* 0x0152: nv_mmctx_size_loop */
307 	0xe89894bd,
308 	0x1a85b600,
309 	0xb60180b6,
310 	0x98bb0284,
311 	0x04e0b600,
312 	0xf404efb8,
313 	0x9fb9eb1b,
314 /* 0x016f: mmctx_xfer */
315 	0xbd00f802,
316 	0x0199f094,
317 	0x0f0007f1,
318 	0xd00203f0,
319 	0x04bd0009,
320 	0xbbfd94bd,
321 	0x120bf405,
322 	0xc40007f1,
323 	0xd00103f0,
324 	0x04bd000b,
325 /* 0x0197: mmctx_base_disabled */
326 	0xfd0099f0,
327 	0x0bf405ee,
328 	0x0007f11e,
329 	0x0103f0c6,
330 	0xbd000ed0,
331 	0x0007f104,
332 	0x0103f0c7,
333 	0xbd000fd0,
334 	0x0199f004,
335 /* 0x01b8: mmctx_multi_disabled */
336 	0xb600abc8,
337 	0xb9f010b4,
338 	0x01aec80c,
339 	0xfd11e4b6,
340 	0x07f105be,
341 	0x03f0c500,
342 	0x000bd001,
343 /* 0x01d6: mmctx_exec_loop */
344 /* 0x01d6: mmctx_wait_free */
345 	0xe7f104bd,
346 	0xe3f0c500,
347 	0x00eecf01,
348 	0xf41fe4f0,
349 	0xce98f30b,
350 	0x05e9fd00,
351 	0xc80007f1,
352 	0xd00103f0,
353 	0x04bd000e,
354 	0xb804c0b6,
355 	0x1bf404cd,
356 	0x02abc8d8,
357 /* 0x0207: mmctx_fini_wait */
358 	0xf11f1bf4,
359 	0xf0c500b7,
360 	0xbbcf01b3,
361 	0x1fb4f000,
362 	0xf410b4b0,
363 	0xa7f0f01b,
364 	0xd021f405,
365 /* 0x0223: mmctx_stop */
366 	0xc82b0ef4,
367 	0xb4b600ab,
368 	0x0cb9f010,
369 	0xf112b9f0,
370 	0xf0c50007,
371 	0x0bd00103,
372 /* 0x023b: mmctx_stop_wait */
373 	0xf104bd00,
374 	0xf0c500b7,
375 	0xbbcf01b3,
376 	0x12bbc800,
377 /* 0x024b: mmctx_done */
378 	0xbdf31bf4,
379 	0x0199f094,
380 	0x170007f1,
381 	0xd00203f0,
382 	0x04bd0009,
383 /* 0x025e: strand_wait */
384 	0xa0f900f8,
385 	0xf402a7f0,
386 	0xa0fcd021,
387 /* 0x026a: strand_pre */
388 	0x97f000f8,
389 	0xfc07f10c,
390 	0x0203f04a,
391 	0xbd0009d0,
392 	0x5e21f504,
393 /* 0x027f: strand_post */
394 	0xf000f802,
395 	0x07f10d97,
396 	0x03f04afc,
397 	0x0009d002,
398 	0x21f504bd,
399 	0x00f8025e,
400 /* 0x0294: strand_set */
401 	0xf10fc7f0,
402 	0xf04ffc07,
403 	0x0cd00203,
404 	0xf004bd00,
405 	0x07f10bc7,
406 	0x03f04afc,
407 	0x000cd002,
408 	0x07f104bd,
409 	0x03f04ffc,
410 	0x000ed002,
411 	0xc7f004bd,
412 	0xfc07f10a,
413 	0x0203f04a,
414 	0xbd000cd0,
415 	0x5e21f504,
416 /* 0x02d3: strand_ctx_init */
417 	0xbd00f802,
418 	0x0399f094,
419 	0x0f0007f1,
420 	0xd00203f0,
421 	0x04bd0009,
422 	0x026a21f5,
423 	0xf503e7f0,
424 	0xbd029421,
425 	0xfc07f1c4,
426 	0x0203f047,
427 	0xbd000cd0,
428 	0x01c7f004,
429 	0x4afc07f1,
430 	0xd00203f0,
431 	0x04bd000c,
432 	0x025e21f5,
433 	0xf1010c92,
434 	0xf046fc07,
435 	0x0cd00203,
436 	0xf004bd00,
437 	0x07f102c7,
438 	0x03f04afc,
439 	0x000cd002,
440 	0x21f504bd,
441 	0x21f5025e,
442 	0x87f1027f,
443 	0x83f04200,
444 	0x0097f102,
445 	0x0293f020,
446 	0x950099cf,
447 /* 0x034a: ctx_init_strand_loop */
448 	0x8ed008fe,
449 	0x408ed000,
450 	0xb6808acf,
451 	0xa0b606a5,
452 	0x00eabb01,
453 	0xb60480b6,
454 	0x1bf40192,
455 	0x08e4b6e8,
456 	0xbdf2efbc,
457 	0x0399f094,
458 	0x170007f1,
459 	0xd00203f0,
460 	0x04bd0009,
461 /* 0x037e: error */
462 	0x07f100f8,
463 	0x03f00500,
464 	0x000fd002,
465 	0xf7f004bd,
466 	0x0007f101,
467 	0x0303f007,
468 	0xbd000fd0,
469 /* 0x039b: init */
470 	0xbd00f804,
471 	0x0007fe04,
472 	0x420017f1,
473 	0xcf0013f0,
474 	0x11e70011,
475 	0x14b60109,
476 	0x0014fe08,
477 	0xf10227f0,
478 	0xf0120007,
479 	0x02d00003,
480 	0xf104bd00,
481 	0xfe06c817,
482 	0x24bd0010,
483 	0x070007f1,
484 	0xd00003f0,
485 	0x04bd0002,
486 	0x200327f1,
487 	0x010007f1,
488 	0xd00103f0,
489 	0x04bd0002,
490 	0x200427f1,
491 	0x010407f1,
492 	0xd00103f0,
493 	0x04bd0002,
494 	0x200b27f1,
495 	0x010807f1,
496 	0xd00103f0,
497 	0x04bd0002,
498 	0x200c27f1,
499 	0x011c07f1,
500 	0xd00103f0,
501 	0x04bd0002,
502 	0xf1010392,
503 	0xf0090007,
504 	0x03d00303,
505 	0xf104bd00,
506 	0xf0870427,
507 	0x07f10023,
508 	0x03f00400,
509 	0x0002d000,
510 	0x27f004bd,
511 	0x0007f104,
512 	0x0003f003,
513 	0xbd0002d0,
514 	0x1031f404,
515 	0x9604e7f1,
516 	0xf440e3f0,
517 	0xfeb96821,
518 	0x90f1c702,
519 	0xf0030180,
520 	0x0f801ff4,
521 	0x0117f002,
522 	0xb6041fbb,
523 	0x07f10112,
524 	0x03f00300,
525 	0x0001d001,
526 	0x07f104bd,
527 	0x03f00400,
528 	0x0001d001,
529 	0x17f104bd,
530 	0xf7f00100,
531 	0x1121f502,
532 	0x2321f508,
533 	0x10f7f008,
534 	0x087021f5,
535 	0x98000e98,
536 	0x21f5010f,
537 	0x14950150,
538 	0x0007f108,
539 	0x0103f0c0,
540 	0xbd0004d0,
541 	0x0007f104,
542 	0x0103f0c1,
543 	0xbd0004d0,
544 	0x0030b704,
545 	0x001fbb13,
546 	0xf102f5b6,
547 	0xf0d30007,
548 	0x0fd00103,
549 	0xb604bd00,
550 	0x10b60815,
551 	0x0814b601,
552 	0xf5021fb9,
553 	0xbb02d321,
554 	0x0398001f,
555 	0x0047f102,
556 	0x5043f020,
557 /* 0x04f4: init_gpc */
558 	0x08044ea0,
559 	0xf4021fb9,
560 	0x4ea09d21,
561 	0xf4bd010c,
562 	0xa09d21f4,
563 	0xf401044e,
564 	0x4ea09d21,
565 	0xf7f00100,
566 	0x9d21f402,
567 	0x08004ea0,
568 /* 0x051c: init_gpc_wait */
569 	0xc86821f4,
570 	0x0bf41fff,
571 	0x044ea0fa,
572 	0x6821f408,
573 	0xb7001fbb,
574 	0xb6800040,
575 	0x1bf40132,
576 	0x00f7f0be,
577 	0x087021f5,
578 	0xf500f7f0,
579 	0xf1081121,
580 	0xf0010007,
581 	0x01d00203,
582 	0xbd04bd00,
583 	0x1f19f014,
584 	0x080007f1,
585 	0xd00203f0,
586 	0x04bd0001,
587 /* 0x0564: main */
588 	0xf40031f4,
589 	0xd7f00028,
590 	0x3921f410,
591 	0xb1f401f4,
592 	0xf54001e4,
593 	0xbd00e91b,
594 	0x0499f094,
595 	0x0f0007f1,
596 	0xd00203f0,
597 	0x04bd0009,
598 	0xc00017f1,
599 	0xcf0213f0,
600 	0x27f10011,
601 	0x23f0c100,
602 	0x0022cf02,
603 	0xf51f13c8,
604 	0xc800890b,
605 	0x0bf41f23,
606 	0xb920f962,
607 	0x94bd0212,
608 	0xf10799f0,
609 	0xf00f0007,
610 	0x09d00203,
611 	0xf404bd00,
612 	0x31f40132,
613 	0x4421f502,
614 	0xf094bd0a,
615 	0x07f10799,
616 	0x03f01700,
617 	0x0009d002,
618 	0x20fc04bd,
619 	0x99f094bd,
620 	0x0007f106,
621 	0x0203f00f,
622 	0xbd0009d0,
623 	0x0131f404,
624 	0x0a4421f5,
625 	0x99f094bd,
626 	0x0007f106,
627 	0x0203f017,
628 	0xbd0009d0,
629 	0x330ef404,
630 /* 0x060c: chsw_prev_no_next */
631 	0x12b920f9,
632 	0x0132f402,
633 	0xf50232f4,
634 	0xfc0a4421,
635 	0x0007f120,
636 	0x0203f0c0,
637 	0xbd0002d0,
638 	0x130ef404,
639 /* 0x062c: chsw_no_prev */
640 	0xf41f23c8,
641 	0x31f40d0b,
642 	0x0232f401,
643 	0x0a4421f5,
644 /* 0x063c: chsw_done */
645 	0xf10127f0,
646 	0xf0c30007,
647 	0x02d00203,
648 	0xbd04bd00,
649 	0x0499f094,
650 	0x170007f1,
651 	0xd00203f0,
652 	0x04bd0009,
653 	0xff080ef5,
654 /* 0x0660: main_not_ctx_switch */
655 	0xf401e4b0,
656 	0xf2b90d1b,
657 	0xd421f502,
658 	0x460ef409,
659 /* 0x0670: main_not_ctx_chan */
660 	0xf402e4b0,
661 	0x94bd321b,
662 	0xf10799f0,
663 	0xf00f0007,
664 	0x09d00203,
665 	0xf404bd00,
666 	0x32f40132,
667 	0x4421f502,
668 	0xf094bd0a,
669 	0x07f10799,
670 	0x03f01700,
671 	0x0009d002,
672 	0x0ef404bd,
673 /* 0x06a5: main_not_ctx_save */
674 	0x10ef9411,
675 	0xf501f5f0,
676 	0xf5037e21,
677 /* 0x06b3: main_done */
678 	0xbdfeb50e,
679 	0x1f29f024,
680 	0x080007f1,
681 	0xd00203f0,
682 	0x04bd0002,
683 	0xfea00ef5,
684 /* 0x06c8: ih */
685 	0x80f900f9,
686 	0xf90188fe,
687 	0xf990f980,
688 	0xf9b0f9a0,
689 	0xf9e0f9d0,
690 	0xf104bdf0,
691 	0xf00200a7,
692 	0xaacf00a3,
693 	0x04abc400,
694 	0xf0300bf4,
695 	0xe7f110d7,
696 	0xe3f01a00,
697 	0x00eecf00,
698 	0x1900f7f1,
699 	0xcf00f3f0,
700 	0x21f400ff,
701 	0x00b0b704,
702 	0x01e7f004,
703 	0x1d0007f1,
704 	0xd00003f0,
705 	0x04bd000e,
706 /* 0x071c: ih_no_fifo */
707 	0x0100abe4,
708 	0xf00d0bf4,
709 	0xe7f110d7,
710 	0x21f44001,
711 /* 0x072d: ih_no_ctxsw */
712 	0x00abe404,
713 	0x6c0bf404,
714 	0x0708e7f1,
715 	0xf440e3f0,
716 	0xffb96821,
717 	0x0007f102,
718 	0x0203f004,
719 	0xbd000fd0,
720 	0x04e7f104,
721 	0x40e3f007,
722 	0xb96821f4,
723 	0x07f102ff,
724 	0x03f00300,
725 	0x000fd002,
726 	0xfec704bd,
727 	0x02ee9450,
728 	0x0700f7f1,
729 	0xbb40f3f0,
730 	0x21f400ef,
731 	0x0007f168,
732 	0x0203f002,
733 	0xbd000fd0,
734 	0x03f7f004,
735 	0x037e21f5,
736 	0x0100b7f1,
737 	0xf102bfb9,
738 	0xf00144e7,
739 	0x21f440e3,
740 /* 0x079d: ih_no_fwmthd */
741 	0x04b7f19d,
742 	0xffb0bd05,
743 	0x0bf4b4ab,
744 	0x0007f10f,
745 	0x0303f007,
746 	0xbd000bd0,
747 /* 0x07b5: ih_no_other */
748 	0x0007f104,
749 	0x0003f001,
750 	0xbd000ad0,
751 	0xfcf0fc04,
752 	0xfcd0fce0,
753 	0xfca0fcb0,
754 	0xfe80fc90,
755 	0x80fc0088,
756 	0x32f400fc,
757 /* 0x07db: ctx_4160s */
758 	0xf001f800,
759 	0xffb901f7,
760 	0x60e7f102,
761 	0x40e3f041,
762 /* 0x07eb: ctx_4160s_wait */
763 	0xf19d21f4,
764 	0xf04160e7,
765 	0x21f440e3,
766 	0x02ffb968,
767 	0xf404ffc8,
768 	0x00f8f00b,
769 /* 0x0800: ctx_4160c */
770 	0xffb9f4bd,
771 	0x60e7f102,
772 	0x40e3f041,
773 	0xf89d21f4,
774 /* 0x0811: ctx_4170s */
775 	0x10f5f000,
776 	0xf102ffb9,
777 	0xf04170e7,
778 	0x21f440e3,
779 /* 0x0823: ctx_4170w */
780 	0xf100f89d,
781 	0xf04170e7,
782 	0x21f440e3,
783 	0x02ffb968,
784 	0xf410f4f0,
785 	0x00f8f01b,
786 /* 0x0838: ctx_redswitch */
787 	0x0200e7f1,
788 	0xf040e5f0,
789 	0xe5f020e5,
790 	0x0007f110,
791 	0x0103f085,
792 	0xbd000ed0,
793 	0x08f7f004,
794 /* 0x0854: ctx_redswitch_delay */
795 	0xf401f2b6,
796 	0xe5f1fd1b,
797 	0xe5f10400,
798 	0x07f10100,
799 	0x03f08500,
800 	0x000ed001,
801 	0x00f804bd,
802 /* 0x0870: ctx_86c */
803 	0x1b0007f1,
804 	0xd00203f0,
805 	0x04bd000f,
806 	0xf102ffb9,
807 	0xf08a14e7,
808 	0x21f440e3,
809 	0x02ffb99d,
810 	0xa86ce7f1,
811 	0xf441e3f0,
812 	0x00f89d21,
813 /* 0x0898: ctx_mem */
814 	0x840007f1,
815 	0xd00203f0,
816 	0x04bd000f,
817 /* 0x08a4: ctx_mem_wait */
818 	0x8400f7f1,
819 	0xcf02f3f0,
820 	0xfffd00ff,
821 	0xf31bf405,
822 /* 0x08b6: ctx_load */
823 	0x94bd00f8,
824 	0xf10599f0,
825 	0xf00f0007,
826 	0x09d00203,
827 	0xf004bd00,
828 	0x21f40ca7,
829 	0xf1f4bdd0,
830 	0xf0890007,
831 	0x0fd00203,
832 	0xf104bd00,
833 	0xf0c10007,
834 	0x02d00203,
835 	0xf104bd00,
836 	0xf0830007,
837 	0x02d00203,
838 	0xf004bd00,
839 	0x21f507f7,
840 	0x07f10898,
841 	0x03f0c000,
842 	0x0002d002,
843 	0x0bfe04bd,
844 	0x1f2af000,
845 	0xb60424b6,
846 	0x94bd0220,
847 	0xf10899f0,
848 	0xf00f0007,
849 	0x09d00203,
850 	0xf104bd00,
851 	0xf0810007,
852 	0x02d00203,
853 	0xf104bd00,
854 	0xf1000027,
855 	0xf0800023,
856 	0x07f10225,
857 	0x03f08800,
858 	0x0002d002,
859 	0x17f004bd,
860 	0x0027f110,
861 	0x0223f002,
862 	0xf80512fa,
863 	0xf094bd03,
864 	0x07f10899,
865 	0x03f01700,
866 	0x0009d002,
867 	0x019804bd,
868 	0x1814b681,
869 	0xb6800298,
870 	0x12fd0825,
871 	0x16018005,
872 	0x99f094bd,
873 	0x0007f109,
874 	0x0203f00f,
875 	0xbd0009d0,
876 	0x0007f104,
877 	0x0203f081,
878 	0xbd0001d0,
879 	0x0127f004,
880 	0x880007f1,
881 	0xd00203f0,
882 	0x04bd0002,
883 	0x010017f1,
884 	0xfa0613f0,
885 	0x03f80501,
886 	0x99f094bd,
887 	0x0007f109,
888 	0x0203f017,
889 	0xbd0009d0,
890 	0xf094bd04,
891 	0x07f10599,
892 	0x03f01700,
893 	0x0009d002,
894 	0x00f804bd,
895 /* 0x09d4: ctx_chan */
896 	0x07db21f5,
897 	0x08b621f5,
898 	0xf40ca7f0,
899 	0xf7f0d021,
900 	0x9821f505,
901 	0x0021f508,
902 /* 0x09ef: ctx_mmio_exec */
903 	0x9800f808,
904 	0x07f14103,
905 	0x03f08100,
906 	0x0003d002,
907 	0x34bd04bd,
908 /* 0x0a00: ctx_mmio_loop */
909 	0xf4ff34c4,
910 	0x57f10f1b,
911 	0x53f00200,
912 	0x0535fa06,
913 /* 0x0a12: ctx_mmio_pull */
914 	0x4e9803f8,
915 	0x814f9880,
916 	0xb69d21f4,
917 	0x12b60830,
918 	0xdf1bf401,
919 /* 0x0a24: ctx_mmio_done */
920 	0xf1160398,
921 	0xf0810007,
922 	0x03d00203,
923 	0x8004bd00,
924 	0x17f14000,
925 	0x13f00100,
926 	0x0601fa06,
927 	0x00f803f8,
928 /* 0x0a44: ctx_xfer */
929 	0xf104e7f0,
930 	0xf0020007,
931 	0x0ed00303,
932 /* 0x0a53: ctx_xfer_idle */
933 	0xf104bd00,
934 	0xf00000e7,
935 	0xeecf03e3,
936 	0x00e4f100,
937 	0xf21bf420,
938 	0xf40611f4,
939 /* 0x0a6a: ctx_xfer_pre */
940 	0xf7f01102,
941 	0x7021f510,
942 	0xdb21f508,
943 	0x1c11f407,
944 /* 0x0a78: ctx_xfer_pre_load */
945 	0xf502f7f0,
946 	0xf5081121,
947 	0xf5082321,
948 	0xbd083821,
949 	0x1121f5f4,
950 	0xb621f508,
951 /* 0x0a91: ctx_xfer_exec */
952 	0x16019808,
953 	0x07f124bd,
954 	0x03f00500,
955 	0x0002d001,
956 	0x1fb904bd,
957 	0x00e7f102,
958 	0x41e3f0a5,
959 	0xf09d21f4,
960 	0x2cf001fc,
961 	0x0124b602,
962 	0xb905f2fd,
963 	0xe7f102ff,
964 	0xe3f0a504,
965 	0x9d21f441,
966 	0x026a21f5,
967 	0x07f124bd,
968 	0x03f047fc,
969 	0x0002d002,
970 	0x2cf004bd,
971 	0x0320b601,
972 	0x4afc07f1,
973 	0xd00203f0,
974 	0x04bd0002,
975 	0xf001acf0,
976 	0xb7f006a5,
977 	0x000c9800,
978 	0xf0010d98,
979 	0x21f500e7,
980 	0xa7f0016f,
981 	0x1021f508,
982 	0x5e21f501,
983 	0x1301f402,
984 	0xf40ca7f0,
985 	0xf7f0d021,
986 	0x9821f505,
987 	0x3202f408,
988 /* 0x0b20: ctx_xfer_post */
989 	0xf502f7f0,
990 	0xbd081121,
991 	0x7021f5f4,
992 	0x7f21f508,
993 	0x2321f502,
994 	0xf5f4bd08,
995 	0xf4081121,
996 	0x01981011,
997 	0x0511fd40,
998 	0xf5070bf4,
999 /* 0x0b4b: ctx_xfer_no_post_mmio */
1000 	0xf509ef21,
1001 /* 0x0b4f: ctx_xfer_done */
1002 	0xf8080021,
1003 	0x00000000,
1004 	0x00000000,
1005 	0x00000000,
1006 	0x00000000,
1007 	0x00000000,
1008 	0x00000000,
1009 	0x00000000,
1010 	0x00000000,
1011 	0x00000000,
1012 	0x00000000,
1013 	0x00000000,
1014 	0x00000000,
1015 	0x00000000,
1016 	0x00000000,
1017 	0x00000000,
1018 	0x00000000,
1019 	0x00000000,
1020 	0x00000000,
1021 	0x00000000,
1022 	0x00000000,
1023 	0x00000000,
1024 	0x00000000,
1025 	0x00000000,
1026 	0x00000000,
1027 	0x00000000,
1028 	0x00000000,
1029 	0x00000000,
1030 	0x00000000,
1031 	0x00000000,
1032 	0x00000000,
1033 	0x00000000,
1034 	0x00000000,
1035 	0x00000000,
1036 	0x00000000,
1037 	0x00000000,
1038 	0x00000000,
1039 	0x00000000,
1040 	0x00000000,
1041 	0x00000000,
1042 	0x00000000,
1043 	0x00000000,
1044 	0x00000000,
1045 	0x00000000,
1046 	0x00000000,
1047 };
1048