1*d85f8a5cSJianqun Xu// SPDX-License-Identifier: (GPL-2.0+ OR MIT)
2*d85f8a5cSJianqun Xu/*
3*d85f8a5cSJianqun Xu * Copyright (c) 2021 Rockchip Electronics Co., Ltd.
4*d85f8a5cSJianqun Xu */
5*d85f8a5cSJianqun Xu
6*d85f8a5cSJianqun Xu#include <dt-bindings/pinctrl/rockchip.h>
7*d85f8a5cSJianqun Xu#include "rockchip-pinconf.dtsi"
8*d85f8a5cSJianqun Xu
9*d85f8a5cSJianqun Xu/*
10*d85f8a5cSJianqun Xu * This file is auto generated by pin2dts tool, please keep these code
11*d85f8a5cSJianqun Xu * by adding changes at end of this file.
12*d85f8a5cSJianqun Xu */
13*d85f8a5cSJianqun Xu&pinctrl {
14*d85f8a5cSJianqun Xu	clk32k {
15*d85f8a5cSJianqun Xu		/omit-if-no-ref/
16*d85f8a5cSJianqun Xu		clk32k_out1: clk32k-out1 {
17*d85f8a5cSJianqun Xu			rockchip,pins =
18*d85f8a5cSJianqun Xu				/* clk32k_out1 */
19*d85f8a5cSJianqun Xu				<2 RK_PC5 1 &pcfg_pull_none>;
20*d85f8a5cSJianqun Xu		};
21*d85f8a5cSJianqun Xu
22*d85f8a5cSJianqun Xu	};
23*d85f8a5cSJianqun Xu
24*d85f8a5cSJianqun Xu	eth0 {
25*d85f8a5cSJianqun Xu		/omit-if-no-ref/
26*d85f8a5cSJianqun Xu		eth0_pins: eth0-pins {
27*d85f8a5cSJianqun Xu			rockchip,pins =
28*d85f8a5cSJianqun Xu				/* eth0_refclko_25m */
29*d85f8a5cSJianqun Xu				<2 RK_PC3 1 &pcfg_pull_none>;
30*d85f8a5cSJianqun Xu		};
31*d85f8a5cSJianqun Xu
32*d85f8a5cSJianqun Xu	};
33*d85f8a5cSJianqun Xu
34*d85f8a5cSJianqun Xu	fspi {
35*d85f8a5cSJianqun Xu		/omit-if-no-ref/
36*d85f8a5cSJianqun Xu		fspim1_pins: fspim1-pins {
37*d85f8a5cSJianqun Xu			rockchip,pins =
38*d85f8a5cSJianqun Xu				/* fspi_clk_m1 */
39*d85f8a5cSJianqun Xu				<2 RK_PB3 3 &pcfg_pull_up_drv_level_2>,
40*d85f8a5cSJianqun Xu				/* fspi_cs0n_m1 */
41*d85f8a5cSJianqun Xu				<2 RK_PB4 3 &pcfg_pull_up_drv_level_2>,
42*d85f8a5cSJianqun Xu				/* fspi_d0_m1 */
43*d85f8a5cSJianqun Xu				<2 RK_PA6 3 &pcfg_pull_up_drv_level_2>,
44*d85f8a5cSJianqun Xu				/* fspi_d1_m1 */
45*d85f8a5cSJianqun Xu				<2 RK_PA7 3 &pcfg_pull_up_drv_level_2>,
46*d85f8a5cSJianqun Xu				/* fspi_d2_m1 */
47*d85f8a5cSJianqun Xu				<2 RK_PB0 3 &pcfg_pull_up_drv_level_2>,
48*d85f8a5cSJianqun Xu				/* fspi_d3_m1 */
49*d85f8a5cSJianqun Xu				<2 RK_PB1 3 &pcfg_pull_up_drv_level_2>;
50*d85f8a5cSJianqun Xu		};
51*d85f8a5cSJianqun Xu
52*d85f8a5cSJianqun Xu		/omit-if-no-ref/
53*d85f8a5cSJianqun Xu		fspim1_cs1: fspim1-cs1 {
54*d85f8a5cSJianqun Xu			rockchip,pins =
55*d85f8a5cSJianqun Xu				/* fspi_cs1n_m1 */
56*d85f8a5cSJianqun Xu				<2 RK_PB5 3 &pcfg_pull_up_drv_level_2>;
57*d85f8a5cSJianqun Xu		};
58*d85f8a5cSJianqun Xu	};
59*d85f8a5cSJianqun Xu
60*d85f8a5cSJianqun Xu	gmac0 {
61*d85f8a5cSJianqun Xu		/omit-if-no-ref/
62*d85f8a5cSJianqun Xu		gmac0_miim: gmac0-miim {
63*d85f8a5cSJianqun Xu			rockchip,pins =
64*d85f8a5cSJianqun Xu				/* gmac0_mdc */
65*d85f8a5cSJianqun Xu				<4 RK_PC4 1 &pcfg_pull_none>,
66*d85f8a5cSJianqun Xu				/* gmac0_mdio */
67*d85f8a5cSJianqun Xu				<4 RK_PC5 1 &pcfg_pull_none>;
68*d85f8a5cSJianqun Xu		};
69*d85f8a5cSJianqun Xu
70*d85f8a5cSJianqun Xu		/omit-if-no-ref/
71*d85f8a5cSJianqun Xu		gmac0_clkinout: gmac0-clkinout {
72*d85f8a5cSJianqun Xu			rockchip,pins =
73*d85f8a5cSJianqun Xu				/* gmac0_mclkinout */
74*d85f8a5cSJianqun Xu				<4 RK_PC3 1 &pcfg_pull_none>;
75*d85f8a5cSJianqun Xu		};
76*d85f8a5cSJianqun Xu
77*d85f8a5cSJianqun Xu		/omit-if-no-ref/
78*d85f8a5cSJianqun Xu		gmac0_rx_bus2: gmac0-rx-bus2 {
79*d85f8a5cSJianqun Xu			rockchip,pins =
80*d85f8a5cSJianqun Xu				/* gmac0_rxd0 */
81*d85f8a5cSJianqun Xu				<2 RK_PC1 1 &pcfg_pull_none>,
82*d85f8a5cSJianqun Xu				/* gmac0_rxd1 */
83*d85f8a5cSJianqun Xu				<2 RK_PC2 1 &pcfg_pull_none>,
84*d85f8a5cSJianqun Xu				/* gmac0_rxdv_crs */
85*d85f8a5cSJianqun Xu				<4 RK_PC2 1 &pcfg_pull_none>;
86*d85f8a5cSJianqun Xu		};
87*d85f8a5cSJianqun Xu
88*d85f8a5cSJianqun Xu		/omit-if-no-ref/
89*d85f8a5cSJianqun Xu		gmac0_tx_bus2: gmac0-tx-bus2 {
90*d85f8a5cSJianqun Xu			rockchip,pins =
91*d85f8a5cSJianqun Xu				/* gmac0_txd0 */
92*d85f8a5cSJianqun Xu				<2 RK_PB6 1 &pcfg_pull_none>,
93*d85f8a5cSJianqun Xu				/* gmac0_txd1 */
94*d85f8a5cSJianqun Xu				<2 RK_PB7 1 &pcfg_pull_none>,
95*d85f8a5cSJianqun Xu				/* gmac0_txen */
96*d85f8a5cSJianqun Xu				<2 RK_PC0 1 &pcfg_pull_none>;
97*d85f8a5cSJianqun Xu		};
98*d85f8a5cSJianqun Xu
99*d85f8a5cSJianqun Xu		/omit-if-no-ref/
100*d85f8a5cSJianqun Xu		gmac0_rgmii_clk: gmac0-rgmii-clk {
101*d85f8a5cSJianqun Xu			rockchip,pins =
102*d85f8a5cSJianqun Xu				/* gmac0_rxclk */
103*d85f8a5cSJianqun Xu				<2 RK_PB0 1 &pcfg_pull_none>,
104*d85f8a5cSJianqun Xu				/* gmac0_txclk */
105*d85f8a5cSJianqun Xu				<2 RK_PB3 1 &pcfg_pull_none>;
106*d85f8a5cSJianqun Xu		};
107*d85f8a5cSJianqun Xu
108*d85f8a5cSJianqun Xu		/omit-if-no-ref/
109*d85f8a5cSJianqun Xu		gmac0_rgmii_bus: gmac0-rgmii-bus {
110*d85f8a5cSJianqun Xu			rockchip,pins =
111*d85f8a5cSJianqun Xu				/* gmac0_rxd2 */
112*d85f8a5cSJianqun Xu				<2 RK_PA6 1 &pcfg_pull_none>,
113*d85f8a5cSJianqun Xu				/* gmac0_rxd3 */
114*d85f8a5cSJianqun Xu				<2 RK_PA7 1 &pcfg_pull_none>,
115*d85f8a5cSJianqun Xu				/* gmac0_txd2 */
116*d85f8a5cSJianqun Xu				<2 RK_PB1 1 &pcfg_pull_none>,
117*d85f8a5cSJianqun Xu				/* gmac0_txd3 */
118*d85f8a5cSJianqun Xu				<2 RK_PB2 1 &pcfg_pull_none>;
119*d85f8a5cSJianqun Xu		};
120*d85f8a5cSJianqun Xu
121*d85f8a5cSJianqun Xu		/omit-if-no-ref/
122*d85f8a5cSJianqun Xu		gmac0_ppsclk: gmac0-ppsclk {
123*d85f8a5cSJianqun Xu			rockchip,pins =
124*d85f8a5cSJianqun Xu				/* gmac0_ppsclk */
125*d85f8a5cSJianqun Xu				<2 RK_PC4 1 &pcfg_pull_none>;
126*d85f8a5cSJianqun Xu		};
127*d85f8a5cSJianqun Xu
128*d85f8a5cSJianqun Xu		/omit-if-no-ref/
129*d85f8a5cSJianqun Xu		gmac0_ppstring: gmac0-ppstring {
130*d85f8a5cSJianqun Xu			rockchip,pins =
131*d85f8a5cSJianqun Xu				/* gmac0_ppstring */
132*d85f8a5cSJianqun Xu				<2 RK_PB5 1 &pcfg_pull_none>;
133*d85f8a5cSJianqun Xu		};
134*d85f8a5cSJianqun Xu
135*d85f8a5cSJianqun Xu		/omit-if-no-ref/
136*d85f8a5cSJianqun Xu		gmac0_ptp_refclk: gmac0-ptp-refclk {
137*d85f8a5cSJianqun Xu			rockchip,pins =
138*d85f8a5cSJianqun Xu				/* gmac0_ptp_refclk */
139*d85f8a5cSJianqun Xu				<2 RK_PB4 1 &pcfg_pull_none>;
140*d85f8a5cSJianqun Xu		};
141*d85f8a5cSJianqun Xu
142*d85f8a5cSJianqun Xu		/omit-if-no-ref/
143*d85f8a5cSJianqun Xu		gmac0_txer: gmac0-txer {
144*d85f8a5cSJianqun Xu			rockchip,pins =
145*d85f8a5cSJianqun Xu				/* gmac0_txer */
146*d85f8a5cSJianqun Xu				<4 RK_PC6 1 &pcfg_pull_none>;
147*d85f8a5cSJianqun Xu		};
148*d85f8a5cSJianqun Xu
149*d85f8a5cSJianqun Xu	};
150*d85f8a5cSJianqun Xu
151*d85f8a5cSJianqun Xu	hdmi {
152*d85f8a5cSJianqun Xu		/omit-if-no-ref/
153*d85f8a5cSJianqun Xu		hdmim0_tx1_cec: hdmim0-tx1-cec {
154*d85f8a5cSJianqun Xu			rockchip,pins =
155*d85f8a5cSJianqun Xu				/* hdmim0_tx1_cec */
156*d85f8a5cSJianqun Xu				<2 RK_PC4 4 &pcfg_pull_none>;
157*d85f8a5cSJianqun Xu		};
158*d85f8a5cSJianqun Xu
159*d85f8a5cSJianqun Xu		/omit-if-no-ref/
160*d85f8a5cSJianqun Xu		hdmim0_tx1_scl: hdmim0-tx1-scl {
161*d85f8a5cSJianqun Xu			rockchip,pins =
162*d85f8a5cSJianqun Xu				/* hdmim0_tx1_scl */
163*d85f8a5cSJianqun Xu				<2 RK_PB5 4 &pcfg_pull_none>;
164*d85f8a5cSJianqun Xu		};
165*d85f8a5cSJianqun Xu
166*d85f8a5cSJianqun Xu		/omit-if-no-ref/
167*d85f8a5cSJianqun Xu		hdmim0_tx1_sda: hdmim0-tx1-sda {
168*d85f8a5cSJianqun Xu			rockchip,pins =
169*d85f8a5cSJianqun Xu				/* hdmim0_tx1_sda */
170*d85f8a5cSJianqun Xu				<2 RK_PB4 4 &pcfg_pull_none>;
171*d85f8a5cSJianqun Xu		};
172*d85f8a5cSJianqun Xu	};
173*d85f8a5cSJianqun Xu
174*d85f8a5cSJianqun Xu	i2c0 {
175*d85f8a5cSJianqun Xu		/omit-if-no-ref/
176*d85f8a5cSJianqun Xu		i2c0m1_xfer: i2c0m1-xfer {
177*d85f8a5cSJianqun Xu			rockchip,pins =
178*d85f8a5cSJianqun Xu				/* i2c0_scl_m1 */
179*d85f8a5cSJianqun Xu				<4 RK_PC5 9 &pcfg_pull_none_smt>,
180*d85f8a5cSJianqun Xu				/* i2c0_sda_m1 */
181*d85f8a5cSJianqun Xu				<4 RK_PC6 9 &pcfg_pull_none_smt>;
182*d85f8a5cSJianqun Xu		};
183*d85f8a5cSJianqun Xu	};
184*d85f8a5cSJianqun Xu
185*d85f8a5cSJianqun Xu	i2c2 {
186*d85f8a5cSJianqun Xu		/omit-if-no-ref/
187*d85f8a5cSJianqun Xu		i2c2m1_xfer: i2c2m1-xfer {
188*d85f8a5cSJianqun Xu			rockchip,pins =
189*d85f8a5cSJianqun Xu				/* i2c2_scl_m1 */
190*d85f8a5cSJianqun Xu				<2 RK_PC1 9 &pcfg_pull_none_smt>,
191*d85f8a5cSJianqun Xu				/* i2c2_sda_m1 */
192*d85f8a5cSJianqun Xu				<2 RK_PC0 9 &pcfg_pull_none_smt>;
193*d85f8a5cSJianqun Xu		};
194*d85f8a5cSJianqun Xu	};
195*d85f8a5cSJianqun Xu
196*d85f8a5cSJianqun Xu	i2c3 {
197*d85f8a5cSJianqun Xu		/omit-if-no-ref/
198*d85f8a5cSJianqun Xu		i2c3m3_xfer: i2c3m3-xfer {
199*d85f8a5cSJianqun Xu			rockchip,pins =
200*d85f8a5cSJianqun Xu				/* i2c3_scl_m3 */
201*d85f8a5cSJianqun Xu				<2 RK_PB2 9 &pcfg_pull_none_smt>,
202*d85f8a5cSJianqun Xu				/* i2c3_sda_m3 */
203*d85f8a5cSJianqun Xu				<2 RK_PB3 9 &pcfg_pull_none_smt>;
204*d85f8a5cSJianqun Xu		};
205*d85f8a5cSJianqun Xu	};
206*d85f8a5cSJianqun Xu
207*d85f8a5cSJianqun Xu	i2c4 {
208*d85f8a5cSJianqun Xu		/omit-if-no-ref/
209*d85f8a5cSJianqun Xu		i2c4m1_xfer: i2c4m1-xfer {
210*d85f8a5cSJianqun Xu			rockchip,pins =
211*d85f8a5cSJianqun Xu				/* i2c4_scl_m1 */
212*d85f8a5cSJianqun Xu				<2 RK_PB5 9 &pcfg_pull_none_smt>,
213*d85f8a5cSJianqun Xu				/* i2c4_sda_m1 */
214*d85f8a5cSJianqun Xu				<2 RK_PB4 9 &pcfg_pull_none_smt>;
215*d85f8a5cSJianqun Xu		};
216*d85f8a5cSJianqun Xu	};
217*d85f8a5cSJianqun Xu
218*d85f8a5cSJianqun Xu	i2c5 {
219*d85f8a5cSJianqun Xu		/omit-if-no-ref/
220*d85f8a5cSJianqun Xu		i2c5m4_xfer: i2c5m4-xfer {
221*d85f8a5cSJianqun Xu			rockchip,pins =
222*d85f8a5cSJianqun Xu				/* i2c5_scl_m4 */
223*d85f8a5cSJianqun Xu				<2 RK_PB6 9 &pcfg_pull_none_smt>,
224*d85f8a5cSJianqun Xu				/* i2c5_sda_m4 */
225*d85f8a5cSJianqun Xu				<2 RK_PB7 9 &pcfg_pull_none_smt>;
226*d85f8a5cSJianqun Xu		};
227*d85f8a5cSJianqun Xu	};
228*d85f8a5cSJianqun Xu
229*d85f8a5cSJianqun Xu	i2c6 {
230*d85f8a5cSJianqun Xu		/omit-if-no-ref/
231*d85f8a5cSJianqun Xu		i2c6m2_xfer: i2c6m2-xfer {
232*d85f8a5cSJianqun Xu			rockchip,pins =
233*d85f8a5cSJianqun Xu				/* i2c6_scl_m2 */
234*d85f8a5cSJianqun Xu				<2 RK_PC3 9 &pcfg_pull_none_smt>,
235*d85f8a5cSJianqun Xu				/* i2c6_sda_m2 */
236*d85f8a5cSJianqun Xu				<2 RK_PC2 9 &pcfg_pull_none_smt>;
237*d85f8a5cSJianqun Xu		};
238*d85f8a5cSJianqun Xu	};
239*d85f8a5cSJianqun Xu
240*d85f8a5cSJianqun Xu	i2c7 {
241*d85f8a5cSJianqun Xu		/omit-if-no-ref/
242*d85f8a5cSJianqun Xu		i2c7m1_xfer: i2c7m1-xfer {
243*d85f8a5cSJianqun Xu			rockchip,pins =
244*d85f8a5cSJianqun Xu				/* i2c7_scl_m1 */
245*d85f8a5cSJianqun Xu				<4 RK_PC3 9 &pcfg_pull_none_smt>,
246*d85f8a5cSJianqun Xu				/* i2c7_sda_m1 */
247*d85f8a5cSJianqun Xu				<4 RK_PC4 9 &pcfg_pull_none_smt>;
248*d85f8a5cSJianqun Xu		};
249*d85f8a5cSJianqun Xu	};
250*d85f8a5cSJianqun Xu
251*d85f8a5cSJianqun Xu	i2c8 {
252*d85f8a5cSJianqun Xu		/omit-if-no-ref/
253*d85f8a5cSJianqun Xu		i2c8m1_xfer: i2c8m1-xfer {
254*d85f8a5cSJianqun Xu			rockchip,pins =
255*d85f8a5cSJianqun Xu				/* i2c8_scl_m1 */
256*d85f8a5cSJianqun Xu				<2 RK_PB0 9 &pcfg_pull_none_smt>,
257*d85f8a5cSJianqun Xu				/* i2c8_sda_m1 */
258*d85f8a5cSJianqun Xu				<2 RK_PB1 9 &pcfg_pull_none_smt>;
259*d85f8a5cSJianqun Xu		};
260*d85f8a5cSJianqun Xu	};
261*d85f8a5cSJianqun Xu
262*d85f8a5cSJianqun Xu	i2s2 {
263*d85f8a5cSJianqun Xu		/omit-if-no-ref/
264*d85f8a5cSJianqun Xu		i2s2m0_lrck: i2s2m0-lrck {
265*d85f8a5cSJianqun Xu			rockchip,pins =
266*d85f8a5cSJianqun Xu				/* i2s2m0_lrck */
267*d85f8a5cSJianqun Xu				<2 RK_PC0 2 &pcfg_pull_none>;
268*d85f8a5cSJianqun Xu		};
269*d85f8a5cSJianqun Xu
270*d85f8a5cSJianqun Xu		/omit-if-no-ref/
271*d85f8a5cSJianqun Xu		i2s2m0_mclk: i2s2m0-mclk {
272*d85f8a5cSJianqun Xu			rockchip,pins =
273*d85f8a5cSJianqun Xu				/* i2s2m0_mclk */
274*d85f8a5cSJianqun Xu				<2 RK_PB6 2 &pcfg_pull_none>;
275*d85f8a5cSJianqun Xu		};
276*d85f8a5cSJianqun Xu
277*d85f8a5cSJianqun Xu		/omit-if-no-ref/
278*d85f8a5cSJianqun Xu		i2s2m0_sclk: i2s2m0-sclk {
279*d85f8a5cSJianqun Xu			rockchip,pins =
280*d85f8a5cSJianqun Xu				/* i2s2m0_sclk */
281*d85f8a5cSJianqun Xu				<2 RK_PB7 2 &pcfg_pull_none>;
282*d85f8a5cSJianqun Xu		};
283*d85f8a5cSJianqun Xu
284*d85f8a5cSJianqun Xu		/omit-if-no-ref/
285*d85f8a5cSJianqun Xu		i2s2m0_sdi: i2s2m0-sdi {
286*d85f8a5cSJianqun Xu			rockchip,pins =
287*d85f8a5cSJianqun Xu				/* i2s2m0_sdi */
288*d85f8a5cSJianqun Xu				<2 RK_PC3 2 &pcfg_pull_none>;
289*d85f8a5cSJianqun Xu		};
290*d85f8a5cSJianqun Xu
291*d85f8a5cSJianqun Xu		/omit-if-no-ref/
292*d85f8a5cSJianqun Xu		i2s2m0_sdo: i2s2m0-sdo {
293*d85f8a5cSJianqun Xu			rockchip,pins =
294*d85f8a5cSJianqun Xu				/* i2s2m0_sdo */
295*d85f8a5cSJianqun Xu				<4 RK_PC3 2 &pcfg_pull_none>;
296*d85f8a5cSJianqun Xu		};
297*d85f8a5cSJianqun Xu	};
298*d85f8a5cSJianqun Xu
299*d85f8a5cSJianqun Xu	pwm2 {
300*d85f8a5cSJianqun Xu		/omit-if-no-ref/
301*d85f8a5cSJianqun Xu		pwm2m2_pins: pwm2m2-pins {
302*d85f8a5cSJianqun Xu			rockchip,pins =
303*d85f8a5cSJianqun Xu				/* pwm2_m2 */
304*d85f8a5cSJianqun Xu				<4 RK_PC2 11 &pcfg_pull_none>;
305*d85f8a5cSJianqun Xu		};
306*d85f8a5cSJianqun Xu	};
307*d85f8a5cSJianqun Xu
308*d85f8a5cSJianqun Xu	pwm4 {
309*d85f8a5cSJianqun Xu		/omit-if-no-ref/
310*d85f8a5cSJianqun Xu		pwm4m1_pins: pwm4m1-pins {
311*d85f8a5cSJianqun Xu			rockchip,pins =
312*d85f8a5cSJianqun Xu				/* pwm4_m1 */
313*d85f8a5cSJianqun Xu				<4 RK_PC3 11 &pcfg_pull_none>;
314*d85f8a5cSJianqun Xu		};
315*d85f8a5cSJianqun Xu	};
316*d85f8a5cSJianqun Xu
317*d85f8a5cSJianqun Xu	pwm5 {
318*d85f8a5cSJianqun Xu		/omit-if-no-ref/
319*d85f8a5cSJianqun Xu		pwm5m2_pins: pwm5m2-pins {
320*d85f8a5cSJianqun Xu			rockchip,pins =
321*d85f8a5cSJianqun Xu				/* pwm5_m2 */
322*d85f8a5cSJianqun Xu				<4 RK_PC4 11 &pcfg_pull_none>;
323*d85f8a5cSJianqun Xu		};
324*d85f8a5cSJianqun Xu	};
325*d85f8a5cSJianqun Xu
326*d85f8a5cSJianqun Xu	pwm6 {
327*d85f8a5cSJianqun Xu		/omit-if-no-ref/
328*d85f8a5cSJianqun Xu		pwm6m2_pins: pwm6m2-pins {
329*d85f8a5cSJianqun Xu			rockchip,pins =
330*d85f8a5cSJianqun Xu				/* pwm6_m2 */
331*d85f8a5cSJianqun Xu				<4 RK_PC5 11 &pcfg_pull_none>;
332*d85f8a5cSJianqun Xu		};
333*d85f8a5cSJianqun Xu	};
334*d85f8a5cSJianqun Xu
335*d85f8a5cSJianqun Xu	pwm7 {
336*d85f8a5cSJianqun Xu		/omit-if-no-ref/
337*d85f8a5cSJianqun Xu		pwm7m3_pins: pwm7m3-pins {
338*d85f8a5cSJianqun Xu			rockchip,pins =
339*d85f8a5cSJianqun Xu				/* pwm7_ir_m3 */
340*d85f8a5cSJianqun Xu				<4 RK_PC6 11 &pcfg_pull_none>;
341*d85f8a5cSJianqun Xu		};
342*d85f8a5cSJianqun Xu	};
343*d85f8a5cSJianqun Xu
344*d85f8a5cSJianqun Xu	sdio {
345*d85f8a5cSJianqun Xu		/omit-if-no-ref/
346*d85f8a5cSJianqun Xu		sdiom0_pins: sdiom0-pins {
347*d85f8a5cSJianqun Xu			rockchip,pins =
348*d85f8a5cSJianqun Xu				/* sdio_clk_m0 */
349*d85f8a5cSJianqun Xu				<2 RK_PB3 2 &pcfg_pull_none>,
350*d85f8a5cSJianqun Xu				/* sdio_cmd_m0 */
351*d85f8a5cSJianqun Xu				<2 RK_PB2 2 &pcfg_pull_none>,
352*d85f8a5cSJianqun Xu				/* sdio_d0_m0 */
353*d85f8a5cSJianqun Xu				<2 RK_PA6 2 &pcfg_pull_none>,
354*d85f8a5cSJianqun Xu				/* sdio_d1_m0 */
355*d85f8a5cSJianqun Xu				<2 RK_PA7 2 &pcfg_pull_none>,
356*d85f8a5cSJianqun Xu				/* sdio_d2_m0 */
357*d85f8a5cSJianqun Xu				<2 RK_PB0 2 &pcfg_pull_none>,
358*d85f8a5cSJianqun Xu				/* sdio_d3_m0 */
359*d85f8a5cSJianqun Xu				<2 RK_PB1 2 &pcfg_pull_none>;
360*d85f8a5cSJianqun Xu		};
361*d85f8a5cSJianqun Xu	};
362*d85f8a5cSJianqun Xu
363*d85f8a5cSJianqun Xu	spi1 {
364*d85f8a5cSJianqun Xu		/omit-if-no-ref/
365*d85f8a5cSJianqun Xu		spi1m0_pins: spi1m0-pins {
366*d85f8a5cSJianqun Xu			rockchip,pins =
367*d85f8a5cSJianqun Xu				/* spi1_clk_m0 */
368*d85f8a5cSJianqun Xu				<2 RK_PC0 8 &pcfg_pull_up_drv_level_1>,
369*d85f8a5cSJianqun Xu				/* spi1_miso_m0 */
370*d85f8a5cSJianqun Xu				<2 RK_PC1 8 &pcfg_pull_up_drv_level_1>,
371*d85f8a5cSJianqun Xu				/* spi1_mosi_m0 */
372*d85f8a5cSJianqun Xu				<2 RK_PC2 8 &pcfg_pull_up_drv_level_1>;
373*d85f8a5cSJianqun Xu		};
374*d85f8a5cSJianqun Xu
375*d85f8a5cSJianqun Xu		/omit-if-no-ref/
376*d85f8a5cSJianqun Xu		spi1m0_cs0: spi1m0-cs0 {
377*d85f8a5cSJianqun Xu			rockchip,pins =
378*d85f8a5cSJianqun Xu				/* spi1_cs0_m0 */
379*d85f8a5cSJianqun Xu				<2 RK_PC3 8 &pcfg_pull_up_drv_level_1>;
380*d85f8a5cSJianqun Xu		};
381*d85f8a5cSJianqun Xu
382*d85f8a5cSJianqun Xu		/omit-if-no-ref/
383*d85f8a5cSJianqun Xu		spi1m0_cs1: spi1m0-cs1 {
384*d85f8a5cSJianqun Xu			rockchip,pins =
385*d85f8a5cSJianqun Xu				/* spi1_cs1_m0 */
386*d85f8a5cSJianqun Xu				<2 RK_PC4 8 &pcfg_pull_up_drv_level_1>;
387*d85f8a5cSJianqun Xu		};
388*d85f8a5cSJianqun Xu	};
389*d85f8a5cSJianqun Xu
390*d85f8a5cSJianqun Xu	spi3 {
391*d85f8a5cSJianqun Xu		/omit-if-no-ref/
392*d85f8a5cSJianqun Xu		spi3m0_pins: spi3m0-pins {
393*d85f8a5cSJianqun Xu			rockchip,pins =
394*d85f8a5cSJianqun Xu				/* spi3_clk_m0 */
395*d85f8a5cSJianqun Xu				<4 RK_PC6 8 &pcfg_pull_up_drv_level_1>,
396*d85f8a5cSJianqun Xu				/* spi3_miso_m0 */
397*d85f8a5cSJianqun Xu				<4 RK_PC4 8 &pcfg_pull_up_drv_level_1>,
398*d85f8a5cSJianqun Xu				/* spi3_mosi_m0 */
399*d85f8a5cSJianqun Xu				<4 RK_PC5 8 &pcfg_pull_up_drv_level_1>;
400*d85f8a5cSJianqun Xu		};
401*d85f8a5cSJianqun Xu
402*d85f8a5cSJianqun Xu		/omit-if-no-ref/
403*d85f8a5cSJianqun Xu		spi3m0_cs0: spi3m0-cs0 {
404*d85f8a5cSJianqun Xu			rockchip,pins =
405*d85f8a5cSJianqun Xu				/* spi3_cs0_m0 */
406*d85f8a5cSJianqun Xu				<4 RK_PC2 8 &pcfg_pull_up_drv_level_1>;
407*d85f8a5cSJianqun Xu		};
408*d85f8a5cSJianqun Xu
409*d85f8a5cSJianqun Xu		/omit-if-no-ref/
410*d85f8a5cSJianqun Xu		spi3m0_cs1: spi3m0-cs1 {
411*d85f8a5cSJianqun Xu			rockchip,pins =
412*d85f8a5cSJianqun Xu				/* spi3_cs1_m0 */
413*d85f8a5cSJianqun Xu				<4 RK_PC3 8 &pcfg_pull_up_drv_level_1>;
414*d85f8a5cSJianqun Xu		};
415*d85f8a5cSJianqun Xu	};
416*d85f8a5cSJianqun Xu
417*d85f8a5cSJianqun Xu	uart1 {
418*d85f8a5cSJianqun Xu		/omit-if-no-ref/
419*d85f8a5cSJianqun Xu		uart1m0_xfer: uart1m0-xfer {
420*d85f8a5cSJianqun Xu			rockchip,pins =
421*d85f8a5cSJianqun Xu				/* uart1_rx_m0 */
422*d85f8a5cSJianqun Xu				<2 RK_PB6 10 &pcfg_pull_up>,
423*d85f8a5cSJianqun Xu				/* uart1_tx_m0 */
424*d85f8a5cSJianqun Xu				<2 RK_PB7 10 &pcfg_pull_up>;
425*d85f8a5cSJianqun Xu		};
426*d85f8a5cSJianqun Xu
427*d85f8a5cSJianqun Xu		/omit-if-no-ref/
428*d85f8a5cSJianqun Xu		uart1m0_ctsn: uart1m0-ctsn {
429*d85f8a5cSJianqun Xu			rockchip,pins =
430*d85f8a5cSJianqun Xu				/* uart1m0_ctsn */
431*d85f8a5cSJianqun Xu				<2 RK_PC1 10 &pcfg_pull_none>;
432*d85f8a5cSJianqun Xu		};
433*d85f8a5cSJianqun Xu
434*d85f8a5cSJianqun Xu		/omit-if-no-ref/
435*d85f8a5cSJianqun Xu		uart1m0_rtsn: uart1m0-rtsn {
436*d85f8a5cSJianqun Xu			rockchip,pins =
437*d85f8a5cSJianqun Xu				/* uart1m0_rtsn */
438*d85f8a5cSJianqun Xu				<2 RK_PC0 10 &pcfg_pull_none>;
439*d85f8a5cSJianqun Xu		};
440*d85f8a5cSJianqun Xu	};
441*d85f8a5cSJianqun Xu
442*d85f8a5cSJianqun Xu	uart6 {
443*d85f8a5cSJianqun Xu		/omit-if-no-ref/
444*d85f8a5cSJianqun Xu		uart6m0_xfer: uart6m0-xfer {
445*d85f8a5cSJianqun Xu			rockchip,pins =
446*d85f8a5cSJianqun Xu				/* uart6_rx_m0 */
447*d85f8a5cSJianqun Xu				<2 RK_PA6 10 &pcfg_pull_up>,
448*d85f8a5cSJianqun Xu				/* uart6_tx_m0 */
449*d85f8a5cSJianqun Xu				<2 RK_PA7 10 &pcfg_pull_up>;
450*d85f8a5cSJianqun Xu		};
451*d85f8a5cSJianqun Xu
452*d85f8a5cSJianqun Xu		/omit-if-no-ref/
453*d85f8a5cSJianqun Xu		uart6m0_ctsn: uart6m0-ctsn {
454*d85f8a5cSJianqun Xu			rockchip,pins =
455*d85f8a5cSJianqun Xu				/* uart6m0_ctsn */
456*d85f8a5cSJianqun Xu				<2 RK_PB1 10 &pcfg_pull_none>;
457*d85f8a5cSJianqun Xu		};
458*d85f8a5cSJianqun Xu
459*d85f8a5cSJianqun Xu		/omit-if-no-ref/
460*d85f8a5cSJianqun Xu		uart6m0_rtsn: uart6m0-rtsn {
461*d85f8a5cSJianqun Xu			rockchip,pins =
462*d85f8a5cSJianqun Xu				/* uart6m0_rtsn */
463*d85f8a5cSJianqun Xu				<2 RK_PB0 10 &pcfg_pull_none>;
464*d85f8a5cSJianqun Xu		};
465*d85f8a5cSJianqun Xu	};
466*d85f8a5cSJianqun Xu
467*d85f8a5cSJianqun Xu	uart7 {
468*d85f8a5cSJianqun Xu		/omit-if-no-ref/
469*d85f8a5cSJianqun Xu		uart7m0_xfer: uart7m0-xfer {
470*d85f8a5cSJianqun Xu			rockchip,pins =
471*d85f8a5cSJianqun Xu				/* uart7_rx_m0 */
472*d85f8a5cSJianqun Xu				<2 RK_PB4 10 &pcfg_pull_up>,
473*d85f8a5cSJianqun Xu				/* uart7_tx_m0 */
474*d85f8a5cSJianqun Xu				<2 RK_PB5 10 &pcfg_pull_up>;
475*d85f8a5cSJianqun Xu		};
476*d85f8a5cSJianqun Xu
477*d85f8a5cSJianqun Xu		/omit-if-no-ref/
478*d85f8a5cSJianqun Xu		uart7m0_ctsn: uart7m0-ctsn {
479*d85f8a5cSJianqun Xu			rockchip,pins =
480*d85f8a5cSJianqun Xu				/* uart7m0_ctsn */
481*d85f8a5cSJianqun Xu				<4 RK_PC6 10 &pcfg_pull_none>;
482*d85f8a5cSJianqun Xu		};
483*d85f8a5cSJianqun Xu
484*d85f8a5cSJianqun Xu		/omit-if-no-ref/
485*d85f8a5cSJianqun Xu		uart7m0_rtsn: uart7m0-rtsn {
486*d85f8a5cSJianqun Xu			rockchip,pins =
487*d85f8a5cSJianqun Xu				/* uart7m0_rtsn */
488*d85f8a5cSJianqun Xu				<4 RK_PC2 10 &pcfg_pull_none>;
489*d85f8a5cSJianqun Xu		};
490*d85f8a5cSJianqun Xu	};
491*d85f8a5cSJianqun Xu
492*d85f8a5cSJianqun Xu	uart9 {
493*d85f8a5cSJianqun Xu		/omit-if-no-ref/
494*d85f8a5cSJianqun Xu		uart9m0_xfer: uart9m0-xfer {
495*d85f8a5cSJianqun Xu			rockchip,pins =
496*d85f8a5cSJianqun Xu				/* uart9_rx_m0 */
497*d85f8a5cSJianqun Xu				<2 RK_PC4 10 &pcfg_pull_up>,
498*d85f8a5cSJianqun Xu				/* uart9_tx_m0 */
499*d85f8a5cSJianqun Xu				<2 RK_PC2 10 &pcfg_pull_up>;
500*d85f8a5cSJianqun Xu		};
501*d85f8a5cSJianqun Xu
502*d85f8a5cSJianqun Xu		/omit-if-no-ref/
503*d85f8a5cSJianqun Xu		uart9m0_ctsn: uart9m0-ctsn {
504*d85f8a5cSJianqun Xu			rockchip,pins =
505*d85f8a5cSJianqun Xu				/* uart9m0_ctsn */
506*d85f8a5cSJianqun Xu				<4 RK_PC5 10 &pcfg_pull_none>;
507*d85f8a5cSJianqun Xu		};
508*d85f8a5cSJianqun Xu
509*d85f8a5cSJianqun Xu		/omit-if-no-ref/
510*d85f8a5cSJianqun Xu		uart9m0_rtsn: uart9m0-rtsn {
511*d85f8a5cSJianqun Xu			rockchip,pins =
512*d85f8a5cSJianqun Xu				/* uart9m0_rtsn */
513*d85f8a5cSJianqun Xu				<4 RK_PC4 10 &pcfg_pull_none>;
514*d85f8a5cSJianqun Xu		};
515*d85f8a5cSJianqun Xu	};
516*d85f8a5cSJianqun Xu};
517