1c61f0256SMaxime Ripard# SPDX-License-Identifier: (GPL-2.0 OR BSD-2-Clause)
2c61f0256SMaxime Ripard%YAML 1.2
3c61f0256SMaxime Ripard---
4c61f0256SMaxime Ripard$id: http://devicetree.org/schemas/nvmem/nvmem-consumer.yaml#
5962e6212SRob Herring$schema: http://devicetree.org/meta-schemas/base.yaml#
6c61f0256SMaxime Ripard
7*dd3cb467SAndrew Lunntitle: NVMEM (Non Volatile Memory) Consumer
8c61f0256SMaxime Ripard
9c61f0256SMaxime Ripardmaintainers:
10c61f0256SMaxime Ripard  - Srinivas Kandagatla <srinivas.kandagatla@linaro.org>
11c61f0256SMaxime Ripard
12c61f0256SMaxime Ripardselect: true
13c61f0256SMaxime Ripard
14c61f0256SMaxime Ripardproperties:
15c61f0256SMaxime Ripard  nvmem:
16c61f0256SMaxime Ripard    $ref: /schemas/types.yaml#/definitions/phandle-array
17c61f0256SMaxime Ripard    description:
18c61f0256SMaxime Ripard      List of phandle to the nvmem providers.
19c61f0256SMaxime Ripard
20c61f0256SMaxime Ripard  nvmem-cells:
21c61f0256SMaxime Ripard    $ref: /schemas/types.yaml#/definitions/phandle-array
22c61f0256SMaxime Ripard    description:
23c61f0256SMaxime Ripard      List of phandle to the nvmem data cells.
24c61f0256SMaxime Ripard
25c61f0256SMaxime Ripard  nvmem-names:
26c61f0256SMaxime Ripard    description:
27c61f0256SMaxime Ripard      Names for the each nvmem provider.
28c61f0256SMaxime Ripard
29c61f0256SMaxime Ripard  nvmem-cell-names:
30c61f0256SMaxime Ripard    description:
31c61f0256SMaxime Ripard      Names for each nvmem-cells specified.
32c61f0256SMaxime Ripard
33c61f0256SMaxime Riparddependencies:
34c61f0256SMaxime Ripard  nvmem-names: [ nvmem ]
35c61f0256SMaxime Ripard  nvmem-cell-names: [ nvmem-cells ]
36c61f0256SMaxime Ripard
376a0e321eSRob HerringadditionalProperties: true
386a0e321eSRob Herring
39c61f0256SMaxime Ripardexamples:
40c61f0256SMaxime Ripard  - |
41c61f0256SMaxime Ripard    tsens {
42c61f0256SMaxime Ripard        /* ... */
43c61f0256SMaxime Ripard        nvmem-cells = <&tsens_calibration>;
44c61f0256SMaxime Ripard        nvmem-cell-names = "calibration";
45c61f0256SMaxime Ripard    };
46