Home
last modified time | relevance | path

Searched defs:ubit (Results 1 – 4 of 4) sorted by relevance

/openbmc/linux/drivers/regulator/
H A Dda903x-regulator.c329 #define DA903x_DVC(_pmic, _id, min, max, step, vreg, nbits, ureg, ubit, ereg, ebit) \ argument
357 #define DA9030_DVC(_id, min, max, step, vreg, nbits, ureg, ubit, ereg, ebit) \ argument
361 #define DA9034_DVC(_id, min, max, step, vreg, nbits, ureg, ubit, ereg, ebit) \ argument
365 #define DA9035_DVC(_id, min, max, step, vreg, nbits, ureg, ubit, ereg, ebit) \ argument
H A D88pm8607.c247 #define PM8607_DVC(vreg, ureg, ubit, ereg, ebit) \ argument
/openbmc/linux/arch/arm/probes/kprobes/
H A Dactions-common.c25 int ubit = insn & (1 << 23); in simulate_ldm1stm1() local
/openbmc/linux/fs/proc/
H A Dpage.c105 static inline u64 kpf_copy_bit(u64 kflags, int ubit, int kbit) in kpf_copy_bit()