Home
last modified time | relevance | path

Searched refs:ihs_fpga_set (Results 1 – 2 of 2) sorted by relevance

/openbmc/u-boot/drivers/misc/
H A Dihs_fpga.h39 #define ihs_fpga_set(map, member, val) \ macro
H A Dihs_fpga.c720 ihs_fpga_set(priv->map, reflection_low, REFLECTION_TESTPATTERN); in do_reflection_test()