Home
last modified time | relevance | path

Searched refs:gen_out (Results 1 – 2 of 2) sorted by relevance

/openbmc/linux/fs/dlm/
H A Dmember.h27 struct dlm_slot **slots_out, uint32_t *gen_out);
H A Dmember.c161 struct dlm_slot **slots_out, uint32_t *gen_out) in dlm_slots_assign() argument
279 *gen_out = gen; in dlm_slots_assign()