Home
last modified time | relevance | path

Searched refs:FSL_IMX6UL_OCRAM_MEM_SIZE (Results 1 – 2 of 2) sorted by relevance

/openbmc/qemu/include/hw/arm/
H A Dfsl-imx6ul.h312 FSL_IMX6UL_OCRAM_MEM_SIZE = (128 * KiB), enumerator
/openbmc/qemu/hw/arm/
H A Dfsl-imx6ul.c675 FSL_IMX6UL_OCRAM_MEM_SIZE, in fsl_imx6ul_realize()