Home
last modified time | relevance | path

Searched defs:out_enable (Results 1 – 2 of 2) sorted by relevance

/openbmc/linux/sound/drivers/mpu401/
H A Dmpu401_uart.c524 int in_enable, out_enable; in snd_mpu401_uart_new() local
/openbmc/linux/sound/pci/hda/
H A Dpatch_ca0132.c4735 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()