Lines Matching defs:port

43 #define insb(port, buf, ns) _insb((u8 *)((port)+_IO_BASE), (buf), (ns))  argument
44 #define outsb(port, buf, ns) _outsb((u8 *)((port)+_IO_BASE), (buf), (ns)) argument
45 #define insw(port, buf, ns) _insw_ns((u16 *)((port)+_IO_BASE), (buf), (ns)) argument
46 #define outsw(port, buf, ns) _outsw_ns((u16 *)((port)+_IO_BASE), (buf), (ns)) argument
47 #define insl(port, buf, nl) _insl_ns((u32 *)((port)+_IO_BASE), (buf), (nl)) argument
48 #define outsl(port, buf, nl) _outsl_ns((u32 *)((port)+_IO_BASE), (buf), (nl)) argument
50 #define inb(port) in_8((u8 *)((port)+_IO_BASE)) argument
51 #define outb(val, port) out_8((u8 *)((port)+_IO_BASE), (val)) argument
53 #define inw(port) in_be16((u16 *)((port)+_IO_BASE)) argument
54 #define outw(val, port) out_be16((u16 *)((port)+_IO_BASE), (val)) argument
55 #define inl(port) in_be32((u32 *)((port)+_IO_BASE)) argument
56 #define outl(val, port) out_be32((u32 *)((port)+_IO_BASE), (val)) argument
58 #define inw(port) in_le16((u16 *)((port)+_IO_BASE)) argument
59 #define outw(val, port) out_le16((u16 *)((port)+_IO_BASE), (val)) argument
60 #define inl(port) in_le32((u32 *)((port)+_IO_BASE)) argument
61 #define outl(val, port) out_le32((u32 *)((port)+_IO_BASE), (val)) argument
64 #define inb_p(port) in_8((u8 *)((port)+_IO_BASE)) argument
65 #define outb_p(val, port) out_8((u8 *)((port)+_IO_BASE), (val)) argument
66 #define inw_p(port) in_le16((u16 *)((port)+_IO_BASE)) argument
67 #define outw_p(val, port) out_le16((u16 *)((port)+_IO_BASE), (val)) argument
68 #define inl_p(port) in_le32((u32 *)((port)+_IO_BASE)) argument
69 #define outl_p(val, port) out_le32((u32 *)((port)+_IO_BASE), (val)) argument
87 #define insw_ns(port, buf, ns) _insw_ns((u16 *)((port)+_IO_BASE), (buf), (ns)) argument
88 #define outsw_ns(port, buf, ns) _outsw_ns((u16 *)((port)+_IO_BASE), (buf), (ns)) argument
89 #define insl_ns(port, buf, nl) _insl_ns((u32 *)((port)+_IO_BASE), (buf), (nl)) argument
90 #define outsl_ns(port, buf, nl) _outsl_ns((u32 *)((port)+_IO_BASE), (buf), (nl)) argument