Lines Matching refs:MSP_WR_DEM

763 		msp_writereg(av7110, MSP_WR_DEM, 0x00bb, 0x02d0); // AD_CV  in av7110_init_analog_module()
764 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 3); // FIR1 in av7110_init_analog_module()
765 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 18); // FIR1 in av7110_init_analog_module()
766 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 27); // FIR1 in av7110_init_analog_module()
767 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 48); // FIR1 in av7110_init_analog_module()
768 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 66); // FIR1 in av7110_init_analog_module()
769 msp_writereg(av7110, MSP_WR_DEM, 0x0001, 72); // FIR1 in av7110_init_analog_module()
770 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 4); // FIR2 in av7110_init_analog_module()
771 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 64); // FIR2 in av7110_init_analog_module()
772 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 0); // FIR2 in av7110_init_analog_module()
773 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 3); // FIR2 in av7110_init_analog_module()
774 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 18); // FIR2 in av7110_init_analog_module()
775 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 27); // FIR2 in av7110_init_analog_module()
776 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 48); // FIR2 in av7110_init_analog_module()
777 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 66); // FIR2 in av7110_init_analog_module()
778 msp_writereg(av7110, MSP_WR_DEM, 0x0005, 72); // FIR2 in av7110_init_analog_module()
779 msp_writereg(av7110, MSP_WR_DEM, 0x0083, 0xa000); // MODE_REG in av7110_init_analog_module()
780 msp_writereg(av7110, MSP_WR_DEM, 0x0093, 0x00aa); // DCO1_LO 5.74MHz in av7110_init_analog_module()
781 msp_writereg(av7110, MSP_WR_DEM, 0x009b, 0x04fc); // DCO1_HI in av7110_init_analog_module()
782 msp_writereg(av7110, MSP_WR_DEM, 0x00a3, 0x038e); // DCO2_LO 5.5MHz in av7110_init_analog_module()
783 msp_writereg(av7110, MSP_WR_DEM, 0x00ab, 0x04c6); // DCO2_HI in av7110_init_analog_module()
784 msp_writereg(av7110, MSP_WR_DEM, 0x0056, 0); // LOAD_REG 1/2 in av7110_init_analog_module()